數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案

上傳人:xt****7 文檔編號(hào):114199298 上傳時(shí)間:2022-06-28 格式:DOC 頁(yè)數(shù):18 大?。?76KB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案_第1頁(yè)
第1頁(yè) / 共18頁(yè)
數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案_第2頁(yè)
第2頁(yè) / 共18頁(yè)
數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案_第3頁(yè)
第3頁(yè) / 共18頁(yè)

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字電子技術(shù)黃瑞祥 第三章習(xí)題答案(18頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、第三章 組合邏輯電路思考題與習(xí)題參考答案題3-1解:圖P3-1:真值表如表D3-1所示。表D3-1輸 入輸 出 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1題3-2解:圖P3-2(a)因?yàn)?(a) 圖是一位數(shù)值比較器(b)所以(b)圖是一位全加器題3-3解:圖P3-3:真值表如表D3-2、表D3-3所示表D3-2輸 入輸 出 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

2、 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1表D3-3輸 入輸 出 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1所以圖P3-3(a)邏輯電路圖是2位二進(jìn)制數(shù)等值比較器,當(dāng)時(shí),輸出否則圖P3-3(b)邏輯電路圖是

3、輸入信號(hào)A、B、C、D中含有偶數(shù)個(gè)0時(shí),輸出否則題3-4解:設(shè)4變量為A、B、C、D,輸出為F,根據(jù)題意,列真值表如表D3-4所示。表D3-4輸 入輸 出 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0根據(jù)真值表畫卡諾圖如圖D3-1所示。圖D3-1先構(gòu)成,然后構(gòu)成F邏輯圖如圖D3-3所示。圖D3-2題3-5解:設(shè)輸入信號(hào)為;輸

4、出信號(hào)為。根據(jù)題意列真值表如表D3-5所示。表D3-5輸 入輸 出 0 1 可以用異或門實(shí)現(xiàn)。邏輯電路圖如圖D3-3所示。 =1=1=1圖D3-3題3-6解:根據(jù)題意列真值表如表D3-6所示:表D3-6輸 入輸 出 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 0 0 1 0 1 0 1根據(jù)真值表畫卡諾圖如圖D3-4所示圖D3-4化簡(jiǎn)后表達(dá)式為:邏輯圖如圖D3-5所示。圖D3-5題3-7解:根據(jù)題意,有兩輸入,有4輸出(1)列真值表如表3-7所示:表D3-7輸 入輸 出 0 0 0 1 1 0 1 1 0 0 0 1 0 0 1 1 0 1

5、 1 1 1 1 0 1根據(jù)真值表寫出表達(dá)式:邏輯圖如圖D3-6所示。圖D3-6(2) 列真值表如表3-8所示:表D3-8輸 入輸 出 0 0 0 1 1 0 1 1 0 0 0 1 0 0 0 0 0 0 0 1 0 1 0 0根據(jù)真值表寫出表達(dá)式:邏輯圖如圖D3- 7所示。圖D3-7 題3-8解:根據(jù)題意,有4個(gè)輸入信號(hào),1個(gè)控制信號(hào)C,有4輸出。列出真值表如表D3-9所示。表D3-9輸 入輸 出 0 0 0 0 0 0 0 0 0 1 。 。 。 。 。 0 1 0 0 1 0 1 0 1 0 。 。 。 。 。 0 1 1 1 1 1 0 0 0 0 。 。 。 。 。 1 0 1 0

6、 1 。 。 。 。 。 1 1 0 0 1 1 1 0 1 0 。 。 。 。 。 1 1 1 1 1 0 0 1 1 0 1 0 0 。 。 。 。 1 1 0 0 X X X X 。 。 。 。 X X X X 0 0 0 0 。 。 。 。 1 0 0 0 。 。 。 。 1 1 0 0 X X X X X X X XX X X X根據(jù)真值表畫卡諾圖如圖D3-8所示。圖D3-8根據(jù)卡諾圖寫出表達(dá)式:畫出邏輯圖如圖3-9所示。圖D3-9題3-9解:根據(jù)題意,有4個(gè)輸入信號(hào),有1個(gè)輸出。列出真值表如表D3-10所示表D3-10輸 入輸 出 0 0 0 0 0 0 0 1 0 0 1 0 0

7、 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 1 1 1 1 1 1 0 0 x x x x x x用卡諾圖化簡(jiǎn)如圖3-10所示。圖D3-10化簡(jiǎn)后表達(dá)式為:邏輯電路圖為如圖3-11所示。圖D3-11題3-10解:根據(jù)題意,有5個(gè)輸入信號(hào),有3個(gè)輸出信號(hào)。根據(jù)真值表直接寫出表達(dá)式:,,,電路圖如圖D3-12所示。圖D3-12題3-11解:根據(jù)題意,有3個(gè)輸入信號(hào),有6個(gè)輸出信號(hào)。根據(jù)真值表,直接寫表達(dá)式:邏輯電路圖如圖D3-13

8、所示。圖D3-13題3-12解:根據(jù)題意,有4個(gè)輸入信號(hào),有1個(gè)輸出信號(hào)。列出真值表如表D3-11所示。輸 入輸 出 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 1 0 1 1 0 X X X 1 1 0 1 1 x x x用卡諾圖化簡(jiǎn)如圖3-14所示圖D3-14表達(dá)式為:電路圖如圖D3-15所示。圖D3-15題3-13解:根據(jù)題意,輸入地址應(yīng)為6位。設(shè)輸入地址碼為,所設(shè)計(jì)的邏輯電路圖

9、如圖D3-16所示。圖D3-16題3-14解:設(shè)輸入編碼為,輸出編碼為。所設(shè)計(jì)的邏輯電路圖如圖D3-17所示。圖D3-17題3-15解:根據(jù)74LS151功能真值表作出Y波形圖如圖D3-18所示。圖D3-18題3-16解:(1)選取A,B,C作為地址選擇輸入端,將函數(shù)寫成標(biāo)準(zhǔn)與或式:邏輯電路圖如圖D3-19所示圖D3-19(2)選取A,B,C作為地址選擇輸入端,將函數(shù)寫成標(biāo)準(zhǔn)與或式:邏輯電路圖如圖D3-20所示圖D3-20題3-17解:選取A,B作為地址選擇輸入端,將函數(shù)寫成標(biāo)準(zhǔn)與或式:邏輯電路圖如圖D3-21所示圖D3-21題3-18解:根據(jù)題意,設(shè)輸入的4未二進(jìn)制數(shù)為,輸出的8421BCD碼為所設(shè)計(jì)邏輯電路圖如圖D3-22所示。圖D3-22題3-19解:根據(jù)題意,設(shè)A,B,C對(duì)應(yīng)編碼輸入,所設(shè)計(jì)邏輯電路圖如圖D3-23所示。圖D3-23題3-20解:根據(jù)題意,所設(shè)計(jì)邏輯電路圖如圖D3-24所示。圖D3-24題3-21解:根據(jù)題意,所設(shè)計(jì)邏輯電路圖如圖D3-25所示。圖D3-25題3-22解:(1)有冒險(xiǎn),函數(shù)應(yīng)改為(2)有冒險(xiǎn),函數(shù)應(yīng)改為(3)無冒險(xiǎn)(4)有冒險(xiǎn),函數(shù)應(yīng)改為 題3-23解:(1)卡諾圖如圖D3-26圖D3-26(2)卡諾圖如圖D3-27圖D3-27(3)卡諾圖如圖D3-28圖D3-28(4)卡諾圖如圖D3-29圖D3-29

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!