《單片機原理及應(yīng)用》實驗指導(dǎo)書

上傳人:仙*** 文檔編號:27964707 上傳時間:2021-08-22 格式:DOC 頁數(shù):29 大?。?.29MB
收藏 版權(quán)申訴 舉報 下載
《單片機原理及應(yīng)用》實驗指導(dǎo)書_第1頁
第1頁 / 共29頁
《單片機原理及應(yīng)用》實驗指導(dǎo)書_第2頁
第2頁 / 共29頁
《單片機原理及應(yīng)用》實驗指導(dǎo)書_第3頁
第3頁 / 共29頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《單片機原理及應(yīng)用》實驗指導(dǎo)書》由會員分享,可在線閱讀,更多相關(guān)《《單片機原理及應(yīng)用》實驗指導(dǎo)書(29頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、單片機原理及應(yīng)用(06通信、07通信)編撰閩江學院計算機實驗教學中心印29實驗一 單片機仿真軟件wave6000練習一 實驗?zāi)康?1了解仿真軟件wave6000的基本操作和使用12 學習簡單程序的編寫和調(diào)試方法。二 實驗內(nèi)容21觀察復(fù)位時,CPU,SFR,DATA,CODE,XDATA,XCODE的值。21 單片機硬件資源的觀察、修改及仿真等23 程序的運行并觀察結(jié)果三 實驗步驟1. 打開wave6000軟件,新建一個文件,輸入代碼后并保存為.ASM的文件。2. 點擊打開復(fù)位按鈕然后,觀察SFR,DATA,CODE,XDATA,XCODE在系統(tǒng)復(fù)位時值的情況如下所示: 3. 輸入一個小程序代碼

2、,在wave6000環(huán)境下運行,查看結(jié)果。ORG 0000H ORG 8000HMAIN:MOV R0,#20H MOV R1,#30H MOV R2,#10HLOOP:MOV A,R0 ANL A,#0F0H SWAP A ADD A,#30H MOV R1,A MOV A,R0 ANL A,#0FH ADD A,#30H INC R1 MOV R1,A INC R0 INC R1 DJNZ R2,LOOP LJMP MAIN四 實驗思考題41通過本次實驗熟悉了wave6000軟件的各種操作,有幾種方法可以運行程序?42 在實際操作中,主要碰到哪些問題使程序不能順利執(zhí)行?實驗二 查表法實現(xiàn)字

3、符的轉(zhuǎn)換程序設(shè)計一 實驗?zāi)康?1 學習簡單程序的編寫和調(diào)試方法。12運用仿真軟件wave6000對程序進行調(diào)式和運行13檢查程序運行結(jié)果是否正確二 實驗內(nèi)容編寫查表法實現(xiàn)字符的轉(zhuǎn)換程序并調(diào)試,查看運行過程及結(jié)果。三 實驗步驟(參考)1 編寫程序,編譯通過,并運行得以下結(jié)果運行一下可以看到程序存儲器中0060H0069H中存放表運行結(jié)果如下:3.采用單步運行查看程序的運行過程,先設(shè)置pc的值,然后單擊單步運行圖標即可通過實驗,我們可以知道單步運行與全速執(zhí)行的結(jié)果是一樣的。四實驗思考題41 在實際操作中,主要碰到哪些問題使程序不能順利執(zhí)行?42 若結(jié)果不正確,可能的問題是什么或使程序不能順利執(zhí)行?

4、實驗三將兩個字節(jié)的十六進制轉(zhuǎn)換成十進制一、實驗?zāi)康? 1熟悉程序的編寫和調(diào)試方法。 12熟悉仿真軟件wave6000對程序進行調(diào)式和運行 13檢查程序運行結(jié)果是否正確二、實驗內(nèi)容編程實現(xiàn)將(10H)(11H)單元的兩個字節(jié)的十六進制數(shù)轉(zhuǎn)換成十進制存放在(20H)(21H)(22H)里面。三、實驗步驟及參考程序1. 編寫源程序,如下: ORG 0000HLJMP MAINORG 8000HMAIN:CLR A MOV 20H,A MOV 21H,A MOV 22H,A MOV R7,#16LOOP:CLR C MOV 10H,#0FFH MOV 11H,#0FFH MOV A,11H RLC A

5、 MOV 11H,A MOV A,10H RLC A MOV 10H,A MOV A,22H ADDC A,22H DA A MOV 22H,A MOV A,21H ADDC A,21H DA A MOV 21H,AMOV A,20HADDC A,20HDA AMOV 20H,ADJNZ R7,LOOPRET2.調(diào)試編譯,并運行得以下結(jié)果: 四、實驗思考題41 在實際操作中,主要碰到哪些問題使程序不能順利執(zhí)行?42 若結(jié)果不正確,可能的問題是什么或使程序不能順利執(zhí)行? 實驗四 程序查詢實現(xiàn)實時時鐘的設(shè)計 一、 實驗?zāi)康?通過本次實驗學習使用程序查詢實現(xiàn)實時時鐘的設(shè)計二、 實驗內(nèi)容編程實現(xiàn)實時時

6、鐘的功能三、 實驗步驟及參考程序1.編寫源程序,如下:ORG 0000HLJMP MAINORG 8000H MAIN:MOV TMOD,#01H MOV 23H,#0AH CLR A MOV 20H,A MOV 21H,A MOV 22H,ALOOP:MOV TH0,#3CH MOV TL0,#0B0H SETB TR0 JNB TF0,$ CLR TF0 DEC 23H MOV TH0,#3CH MOV TL0,#0B0H MOV A,23H CJNE A,#00H,LOOP MOV 23H,#0AH MOV A,#01H ADD A,22H DA A MOV 22H,A CJNE A,#

7、60H,LOOP MOV 22H,#00H MOV A,#01H ADD A,21H DA A MOV 21H,A CJNE A,#60H,LOOP MOV 21H,#00H MOV A,#01H ADD A,20H DA A MOV 20H,A CJNE A,#24H,LOOP MOV 20H,#00H END2.通過調(diào)試,編譯并運行得如下結(jié)果20H,21H,22H單元分別存放著時分秒的值。四、實驗思考題41 在實際操作中,主要碰到哪些問題使程序不能順利執(zhí)行?42 若時間和實際時間不一致,可能的問題是什么?43 還有什么辦法可以實現(xiàn)時鐘的功能?實驗五 Keil C51集成開發(fā)環(huán)境的使用一、【

8、實驗?zāi)康摹?、熟悉Keil C51集成開發(fā)環(huán)境的使用方法2、熟悉DP-51PRO單片機綜合仿真實驗儀的使用3、掌握基于Keil C51的硬件仿真功能的使用二、【實驗儀器】1、IBM PC機一臺 2、DP-51PRO單片機綜合仿真實驗儀一臺三、【實驗內(nèi)容】一、Keil C51的使用在Keil 軟件中是以項目(Project)方式來組織管理所有相關(guān)的源碼,和源碼所處的文件夾沒有太大關(guān)系。1、 熟悉uVision3集成開發(fā)環(huán)境菜單欄,工程項目窗口,編輯窗口,信息輸出窗口等;2、 創(chuàng)建一個新的項目:Project - New uVision Project ,設(shè)置好工程存放路徑、工程名稱如:led。3

9、、 為這個項目選擇一個相應(yīng)的設(shè)備,即目標單片機型號:NXP (Philips) - P80/P87C52X2。(此設(shè)備型號也可以在屬性中更改。)4、 提示如下: 選擇否。(當用C語言開發(fā)時,可選是)。5、 新建一個文本文檔File - New,輸入實驗源碼:ORG8000H;偽指令,指定程序從8000H開始存放AJMPMAIN;跳轉(zhuǎn)指令,程序跳轉(zhuǎn)到MAIN處ORG8100H;偽指令,指定以下程序從8100H開始存放MAIN:MOVSP,#60;給堆棧指針賦初值LIGHT:CPLP1.0;取反P1.0,改變L9的亮滅狀態(tài)CPLP1.1;取反P1.1,改變L10的亮滅狀態(tài)CPLP1.2;取反P1.

10、2,改變L11的亮滅狀態(tài)ACALLDELAY;調(diào)延時子程序AJMPLIGHT;跳轉(zhuǎn),程序繼續(xù);延時子程序DELAY:MOVR7,#10HDELAY0:MOVR6,#7FHDELAY1:MOVR5,#7FHDELAY2:DJNZR5,DELAY2DJNZR6,DELAY1DJNZR7,DELAY0RETEND保存為:led.asm (后綴.asm表示此源代碼是用匯編語言編的)6、 在工程項目窗口中,右鍵點擊Source Group 1, 點擊Add Files to Group “Source Group 1”, 把剛剛編寫的源文件加入工程中。7、 編譯鏈接程序Project - Build T

11、arget。 如出錯改正后再執(zhí)行此命令直到鏈接成功。二、仿真調(diào)試功能的使用1、 在前面已編譯好的基礎(chǔ)上,對項目的屬性做些特殊設(shè)置,即可進行硬件仿真。在工程項目窗口中點擊選擇Target 1, 而后Project - Options for Target Target 1, 彈出項目屬性設(shè)置頁面。設(shè)置如下:1) Target頁:Off-chip Code memory 和Off-chip Xdata memory 如下設(shè)置。2) Output頁:勾選Create HEX File, 編譯連接生成HEX標準格式下載文件。3) Debug頁:選擇右邊的Use: Keil Monitor-51 Dri

12、ver, 即選擇將進行硬件仿真方式,如下圖:左邊的Use Simulator為軟件仿真。4) 再點擊Settings按鈕,彈出下圖:選擇相應(yīng)的串口,波特率為9600。其它選項如圖設(shè)置。屬性設(shè)置后,點擊“確定”保存后,仿真調(diào)試的軟件設(shè)置部分即完成, 需再對項目編譯:Project - Build all Target files2、 實驗儀的設(shè)置:1) 實驗儀硬件仿真狀態(tài)設(shè)置:在A1區(qū),JP13中的SRAM_E和FLASH_E斷開不短接,JP14斷開不短接;JP15的TXD,RXD短接。MOD_SW1開關(guān)撥到RUN模式。按一下復(fù)位按鍵 RST。 按本實驗的所實現(xiàn)的功能:使用導(dǎo)線把A2區(qū)的J61接

13、口與D1區(qū)的J52接口相連。2) 運行 Keil C 的 Debug - Start/Stop Debug Session 命令,即把程序下載到單片機的SRAM中,開始仿真調(diào)試,在調(diào)試過程中,注意觀察調(diào)試中實驗儀器的變化。進入調(diào)試界面如下:3) 在Output windows 選擇Command頁,在后輸入:g, 程序?qū)⑻D(zhuǎn)到Main開始調(diào)試, 而后即可進行單步、斷點調(diào)試等:跳轉(zhuǎn)后的頁面:4)單步調(diào)試:點擊快捷工具: 體會這幾種工具的不同之處5)斷點調(diào)試:點擊快捷工具: 前者為設(shè)斷點,后者為清除斷點;或雙擊相應(yīng)行即可設(shè)置/清除斷點,然后點擊: 執(zhí)行。如圖為設(shè)置了一個斷點:)查看內(nèi)存:如沒顯示如

14、下窗口,選擇View - Memory Window 打開該窗口。在Address:輸入框中,輸入字符格式為:“存儲器標識符:地址”, 如上圖c表示程序存儲器,0x8109是地址(0x表示是16進制)。還有d表示內(nèi)部數(shù)據(jù)存儲器,x表示外部數(shù)據(jù)存器。)點擊這個圖標: 退出調(diào)試。實現(xiàn)流水燈循環(huán)點亮: 程序如下:具體步驟如前所述。實驗六 數(shù)據(jù)的冒泡排序一、實驗?zāi)康?通過本次實驗學習使用冒泡排序的單片機實現(xiàn)二、 實驗內(nèi)容使用冒泡排序?qū)崿F(xiàn)20H-2FH單元的數(shù)據(jù)從小到大排列,并把正確的排序結(jié)果仍存放在20H-2FH單元三、 實驗步驟及參考程序1. 編寫源程序,并編譯。ORG 0000H LJMP MAI

15、N ORG 4000HMAIN: MOV R3,#00HLOOP:MOV R0,#20H MOV R1,#21H MOV R2,#0FHLOOP1:MOV A,R0 CLR C SUBB A,R1 JC LOOP2 MOV A,R0 XCH A,R1 MOV R0,A INC R0 INC R1 LJMP LOOP3LOOP2:INC R0 INC R1LOOP3:DJNZ R2,LOOP1 INC R3 CJNE R3,#0FH,LOOP END2. 運行可執(zhí)行文件,得到最后結(jié)果:(1) 運行前20H-2FH單元的內(nèi)容如下:(2) 運行后20H-2FH單元的內(nèi)容如下:四、實驗思考題41 還有

16、什么辦法可以實現(xiàn)數(shù)據(jù)排序的功能?實驗七 單片機的I/O實驗(電子琴)一、實驗?zāi)康?、學會利用單片機的輸入輸出口, 熟悉定時器的使用;2、了解計算機(蜂鳴器)發(fā)聲原理;3、了解鍵盤掃描電路的工作原理及編程方法;二、實驗原理在單片機的開發(fā)使用中,經(jīng)常會用到IO口來輸入數(shù)據(jù)或輸出控制信號,利用IO口是單片機編程的最基礎(chǔ)技能。定時器常常用在要求比較精確計時的場合,能實時的處理一些特定的操作。實驗原理圖利用實驗儀上提供的按鍵K1-K7作為電子琴按鍵,控制蜂鳴器發(fā)聲,了解計算機發(fā)聲原理,熟悉定時器和鍵盤掃描電路的工作原理及編程方法。三、實驗儀器1、IBM PC機一臺2、DP-51PRO單片機綜合仿真實驗儀

17、一臺四、實驗內(nèi)容編寫一段程序來檢測按鍵的狀態(tài),當某一按鍵按下時,蜂鳴器發(fā)出對應(yīng)的音調(diào);用P3.3口控制(輸出7種音階標稱頻率的方波),使D4區(qū)的蜂鳴器發(fā)出不同的音調(diào)。1、認真理解程序控制流程,弄懂兩個問題:如何掃描鍵盤及每個按鍵閉合時對定時器的影響。2、創(chuàng)建一個工程,編寫按鍵的動態(tài)掃描程序,根據(jù)不同音階的頻率編寫蜂鳴器的音調(diào)控制程序,完成編譯、鏈接。并按實驗一配置好工程屬性,把實驗儀設(shè)置為仿真狀態(tài)。3、用導(dǎo)線將A2區(qū)J58接口P3.3口(INT1)和D4區(qū)的J8接口相連,然后將D1區(qū)的J53接口和A2區(qū)的J61接口一一對應(yīng)相連。4、仿真調(diào)試程序,測試每個按鍵的音調(diào)。程序如下:BUZZ EQU

18、P3.3ORG 8000HLJMP MAINORG 800BHLJMP INT_T0ORG 8100HMAIN: MOV SP,#60H MOV P1,#0FFH MOV TMOD,#01H SETB ET0 SETB EA CLR TR0START: MOV R0,P1 CJNE R0,#0FFH,KEY1 CLR TR0 SJMP STARTKEY1: CJNE R0,#0FEH,KEY2 MOV 30H,#0FBH MOV 31H,#0E9H LJMP SET_TIMERKEY2: CJNE R0,#0FDH,KEY3 MOV 30H,#0FCH MOV 31H,#5CH LJMP SE

19、T_TIMERKEY3: CJNE R0,#0FBH,KEY4 MOV 30H,#0FCH MOV 31H,#0C1H LJMP SET_TIMERKEY4: CJNE R0,#0F7H,KEY5 MOV 30H,#0FCH MOV 31H,#0EFH LJMP SET_TIMERKEY5: CJNE R0,#0EFH,KEY6 MOV 30H,#0FDH MOV 31H,#045H LJMP SET_TIMERKEY6: CJNE R0,#0DFH,KEY7 MOV 30H,#0FDH MOV 31H,#92H LJMP SET_TIMERKEY7: CJNE R0,#0BFH,NOKEY

20、MOV 30H,#0FDH MOV 31H,#0D6HSET_TIMER: SETB TR0 SJMP STARTNOKEY: CLR TR0 SJMP STARTINT_T0: MOV TH0,30H MOV TL0,31H CPL BUZZ RETI ; END五、實驗思考題51 若發(fā)出的音調(diào)和實際不一致,可能的問題是什么?52 用什么辦法可以改變音準、節(jié)奏和演奏速度?實驗八 單片機的I/O實驗(串口/并口轉(zhuǎn)換)一、實驗?zāi)康氖煜げ⒄莆沾D(zhuǎn)并的I/O口擴展方法二、實驗設(shè)備及器件IBM PC機、DP-51PRO單片機綜合仿真實驗儀三、實驗內(nèi)容1、寫程序,通過單片機的P1口控制74HC164的串

21、行輸入端口,實現(xiàn)串并轉(zhuǎn)換2、驗證串并轉(zhuǎn)換數(shù)據(jù)的正確性四、實驗要求熟悉串并轉(zhuǎn)換芯片的工作原理,學會使用串并轉(zhuǎn)換芯片擴展單片機的I/O口資源。五、實驗步驟1、短接C5區(qū)JP10接口,將C5區(qū)J43接口與A2區(qū)J61接口的P10P13對應(yīng)相連(clk對P10等等)。2、運行編寫好的軟件程序,完成一次串并轉(zhuǎn)換。3、使用C2區(qū)的邏輯筆或D1區(qū)的J52接口(LED指示燈)測試并行輸出數(shù)據(jù)Q0Q7數(shù)據(jù)的正確性。六、實驗參考程序 CLK EQU P1.0DINA EQU P1.1DINB EQU P1.2CLR164 EQU P1.3ORG 8000HLJMP MAINORG 8100HMAIN:MOV SP

22、,#60H NOP CLR CLK SETB DINB CLR CLR164 MOV A,# 0AAH MOV R4,#08HSLCHG: RLC A MOV DINA,C SETB CLK NOP CLR CLK NOP DJNZ R4,SLCHG SJMP $ ; END七、實驗思考題71 還有哪些辦法可以實現(xiàn)I/O的擴展和轉(zhuǎn)換?實驗九 單片機與譯碼器的接口實驗一、實驗?zāi)康氖煜ぷg碼器的使用方法,靈活應(yīng)用74HC138譯碼器電路設(shè)計。二、實驗設(shè)備及器件IBM PC機 一臺DP-51PRO單片機綜合仿真實驗儀 一臺三、實驗內(nèi)容(1)編寫程序,通過單片機的P1口控制74HC138的數(shù)據(jù)輸入端,從

23、而選通相應(yīng)的數(shù)據(jù)輸出位。(2)將譯碼器數(shù)據(jù)輸出端口連接到8個LED指示燈,驗證譯碼器的正確性。四、實驗要求通過實驗掌握譯碼器的工作原理和實際應(yīng)用方法。五、實驗步驟(1)短接C6區(qū)JP4接口上的短路帽,將C6區(qū)J20,J22接口與A2區(qū)J61接口的P10P15相連。實驗原理圖如下圖所示(2)將D1區(qū)的J52接口連接到C6區(qū)J51譯碼數(shù)據(jù)輸出接口。(3)打開程序調(diào)試軟件,下載運行編寫好的軟件程序,查看程序運行結(jié)果是否正確。六、實驗參考程序ORG 8000HLJMP MAINORG 8100HMAIN:MOV SP,#60HMOV R4,#0DJNZ R4,$CLR P1.5CLR P1.4SETB

24、 P1.3CLR P1.0CLR P1.1SETB P1.2SJMP $;END七、實驗思考題71如何利用單片機實現(xiàn)編碼器的功能?實驗十:單片機的串口通信實驗一、實驗?zāi)康?、學會單片機的串行口的使用2、掌握單片機燒寫程序的方法和步驟二、實驗原理1、通過串口實現(xiàn)一個單片機與PC機的簡單握手通信的程序。2、利用單片機的TXD、RXD口向PC機發(fā)送。三、實驗儀器1、IBM PC機一臺2、DP-51PRO單片機綜合仿真實驗儀一臺四、實驗內(nèi)容由于使用硬件仿真功能將占用串口,所以本次實驗不能在實驗儀上進行仿真,只能把程序下載到片上運行驗證。1、實驗編程 按以下要求編寫兩個程序,特別是在項目屬性的設(shè)置中要勾

25、選Create HEX File這一選項,才能編譯生成DPFlash下載所需要的.HEX文件,按第二節(jié)介紹的下載流程步驟把程序下載到片上驗證。1)編寫一段程序,利用串行口向PC機發(fā)送數(shù)據(jù)0x55。(第二節(jié)的截圖為本實驗的實驗結(jié)果)2)編寫一段程序,實現(xiàn)一個單片機與PC機的簡單握手通信的程序。通信流程: PC機串口發(fā)送數(shù)據(jù)0x55(ASCII碼為字母U),在單片機接收到0x55時返回一個數(shù)據(jù)0x41(ASCII碼為字母A)即完成通信流程。程序如下:程序1:發(fā)送程序2:接收與發(fā)送2、下載流程1)安裝燒寫軟件DPFlash。2)運行DPFlash下載程序,如圖13)設(shè)置實驗儀為下載模式(即把A1區(qū)的

26、MOD_SW1撥到load方向,再按RST按鈕復(fù)位實驗儀)。4)點擊左側(cè)工具欄的擦除按鈕,把單片機片內(nèi)ram清空,如圖2 5)文件-裝載,載入待下載的.HEX文件,如:rs232.hex,如圖36)點擊左側(cè)工具欄的編程按鈕,把裝載的.hex文件燒寫入單片機。如圖47)退出編程窗口,把實驗儀設(shè)置為運行(RUN)模式后,單片機即已運行片內(nèi)程序。按需要調(diào)試、觀察實驗儀的運行表現(xiàn)。 本實驗是調(diào)試RS232串口,我們可以使用DPFlash軟件自帶的一個串口調(diào)試工具。點擊串口調(diào)試器,彈出一個界面,如圖5,按本實驗通信要求設(shè)置波特率等參數(shù),即可觀察到單片機發(fā)送的數(shù)據(jù)。8)采用同樣的方法可觀察到單片機接收與發(fā)

27、送3、本次實驗結(jié)束后需把硬件仿真程序重新燒寫回單片機。運行DPFlash,點擊左邊工具欄“編程(P)”按鈕,然后選擇“編程MON51”,點擊“編程”,即把仿真接口程序重新燒入單片機中。界面如下:五、思考題51 如何進行單片機與單片機之間的串行通信。52 如何使用中斷方法編寫程序。53 如何實現(xiàn)單片機的多機通訊?54 哪些方法可以實現(xiàn)單片機通訊中的數(shù)據(jù)校驗?實驗十一 Keil C51集成開發(fā)環(huán)境的使用一、【實驗?zāi)康摹?、熟悉Keil C51集成開發(fā)環(huán)境的使用方法2、熟悉DP-51PRO單片機綜合仿真實驗儀的使用3、掌握基于Keil C51的硬件仿真功能的使用二、【實驗儀器】1、IBM PC機一臺

28、 2、DP-51PRO單片機綜合仿真實驗儀一臺三、【實驗內(nèi)容】1、Keil C51的使用2、仿真調(diào)試功能的使用四、【實驗步驟】1、 新建一個工程iso,并為工程選用相應(yīng)的cpu型號。2、 如果程序沒有編好,新建一個文件,編寫相關(guān)的程序,用iso.asm文件名保存。ORG 8000HLJMP MainORG 80F0HMain: MOV R7, #0 Loop: MOV R6, #0DJNZ R6, $DJNZ R6, $DJNZ R6, $DJNZ R6, $DJNZ R7, LoopCPL P1.0 ; P 1 .0 取反CPL P1.1 ; P 1 .1 取反CPL P1.2 ; P 1

29、.2 取反CPL P1.3 ; P 1 .3 取反CPL P1.4; P 1 .4 取反CPL P1.5 ; P 1 .5 取反CPL P1.6 ; P 1 .6 取反CPL P1.7 ; P 1 .7 取反SJMP MainEND3、 將編寫好的程序添加到工程的Target中。4、設(shè)置iso工程編譯連接環(huán)境為硬件仿真并選擇相應(yīng)的驅(qū)動程序庫。 5、進行源程序的編譯連接,直到程序編譯連接成功為止。6、將程序載人到51單片機中,并運行查看結(jié)果。五、【實驗結(jié)果】p1的8個輸出端口連接顯示燈上,8個顯示燈實現(xiàn)流水燈循環(huán)點亮。實驗十二 定時器的設(shè)計一、實驗?zāi)康?通過本次實驗學習使用定時器功能。二、實驗內(nèi)

30、容利用定時器T0編程實現(xiàn)定時1秒,假設(shè)系統(tǒng)時鐘采用6MHZ。三、實驗步驟及參考程序(1)定時器T0工作方式的確定方式0最長可定時16.384ms,方式1最長可定時131.072ms,方式2最長可定時512us。終上可得,可選方式1,每隔100ms中斷一次,中斷10次為1s。(2)計算初值 因為:(216-X)*2*10-6=10-1 所以:X=15536=3CB0H 因此:TH0=3CH,TL0=B0H(3)編寫程序ORG 0000HLJMP MAINORG 000BHAJMP IT0PORG 0100HMAIN:MOV SP,#60H MOV B,#0AH MOV TMOD,#01H MOV

31、 TL0,#0B0H MOV TH0,#3CH SETB TR0 SETB ET0 SETB EAHERE:SJMP HEREIT0P:MOV TL0,#0B0H MOV TH0,#3CH DJNZ B,LOOP CLR TR0LOOP:RETIEND四、運行結(jié)果 ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPS

32、ortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl2

33、0CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJag

34、jMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3

35、xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVR

36、FFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJS

37、KFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SIQrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!