高壓大功率脈沖電源的設計[共34頁]

上傳人:1528****253 文檔編號:40102613 上傳時間:2021-11-13 格式:DOC 頁數:35 大?。?.65MB
收藏 版權申訴 舉報 下載
高壓大功率脈沖電源的設計[共34頁]_第1頁
第1頁 / 共35頁
高壓大功率脈沖電源的設計[共34頁]_第2頁
第2頁 / 共35頁
高壓大功率脈沖電源的設計[共34頁]_第3頁
第3頁 / 共35頁

下載文檔到電腦,查找使用更方便

12 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《高壓大功率脈沖電源的設計[共34頁]》由會員分享,可在線閱讀,更多相關《高壓大功率脈沖電源的設計[共34頁](35頁珍藏版)》請在裝配圖網上搜索。

1、1緒論1.1論文的研究背景電源設備用以實現電能變換和功率傳遞,是一種技術含量高、知識面寬、更新換代快的產品?,F今已廣泛應用到工業(yè)、能源、交通、運輸、信息、航空、航天、航運、國防、教育、文化等領域。在信息時代,上述各行各業(yè)都在迅猛地發(fā)展,發(fā)展的同時又對電源產業(yè)提出了更多更高的要求。顯然,電源技術的發(fā)展將帶動相關技術的發(fā)展,而相關技術的發(fā)展反過來又推動了電源產業(yè)的發(fā)展。當前在電源產業(yè),占主導地位的產品有各種線性穩(wěn)壓電源、通訊用的ACDc開關電源、DCDC開關電源、交流變頻調速電源、電解電鍍電源、高頻逆變式整流焊接電源、中頻感應加熱電源、電力操作電源、正弦波逆變電源、大功率高頻高壓直流穩(wěn)壓電源、綠色

2、照明電源、化學電源、UPS、可靠高效低污染的光伏逆變電源、風光互補型電源等。而與電源相關的技術有高頻變換技術、功率轉換技術、數字化控制技術、全諧振高頻軟開關變換技術、同步整流技術、高度智能化技術、電磁兼容技術、功率因數校正技術、保護技術、并聯均流控制技術、脈寬調制技術、變頻調速技術、智能監(jiān)測技術、智能化充電技術、微機控制技術、集成化技術、網絡技術、各種形式的驅動技術和先進的工藝技術。1.2脈沖電源的特點及發(fā)展動態(tài)脈沖電源是各種電源設備中比較特殊的一種,顧名思義,它的電壓或電流波形為脈沖狀。按脈沖電源的輸出特性分類,有高頻、低頻、單向、雙向、高壓、低壓等不同的分類,具體選擇怎樣的輸出電壓、輸出電

3、流和開關頻率,根據具體的應用場合而定。按脈沖波形分,有矩形波、三角波、梯形波、鋸齒波等多種形式,如圖11所示。圖11各種脈沖波形由于矩形波具有較好的可控性和易操作性,所以這種波形的應用居多。究其本質,脈沖電源實質上是一種通斷的直流電源,它的基本工作原理是:首先經過慢儲能,使初級能源具有足夠的能量,然后向中間儲能和脈沖成形系統放電(或流入能量),能量經過儲存、壓縮、形成脈沖或轉化等復雜過程之后,形成了脈沖電源。1.3脈沖電源的應用及研究現狀由于脈沖電源斷續(xù)供電的特性,在很多領域都獲得了廣泛的應用,其應用領域包括:脈沖電鍍、極性相和非極性相的相分離、工業(yè)廢氣處理、脈沖電解污水處理、高頻脈沖感應加熱

4、、高功率激光泵、產生高功率帶電粒子束、電弧焊接、電火花加工、靜電除塵、臭氧制取和表面熱處理等。在軍事上,脈沖電源還用于電磁軌道炮、電磁脈沖模擬、粒子束武器、液電爆炸等領域。下面簡要介紹脈沖電源的幾種典型應用。(1)脈沖電源在電加工領域的應用傳統電鍍采用直流電流,而采用脈沖電鍍具有比直流電鍍更優(yōu)異的性能。脈沖電鍍能控制金屬電沉積,通過改變脈沖參數來改善鍍層的物理化學性能,從而可以節(jié)約貴金屬和獲得功能性鍍層。脈沖電流的波形有方波、三角波、鋸齒波、階梯波等,但就目前的應用情況來看,方波脈沖在工業(yè)生產中應用最為普遍,對脈沖電鍍的研究也多圍繞方波進行展開。由方波脈沖演變過來的脈沖形式有直流疊加脈沖、周期

5、換向脈沖和間斷脈沖。直流疊加脈沖是在直流基波上疊加了一個方波脈沖,這種方法的電鍍效果與單脈沖基本相當。周期換向脈沖電鍍實際就是雙向脈沖電鍍,是指在正向陰極脈沖之后引入反向陽極脈沖的電流形式,這種方式目前在國內應用較多,主要是為了得到高致密性且具有一定光潔度的鍍層。間斷脈沖是脈沖的一種周期性中斷,由于有間歇時間的存在,利于放電離子的充分恢復,可使脈沖極限電流密度提高。(2)脈沖電源在環(huán)境工程領域的應用及研究現狀脈沖電源技術最近幾十年在環(huán)境治理和保護領域中蓬勃發(fā)展,顯示出了廣闊的應用前景,因此脈沖電源技術在環(huán)境工程領域的應用自然而然的成為國內外學術的研究熱點。主要表現在以下一個方面。1)脈沖電暈等

6、離子體法凈化工業(yè)廢氣脈沖電暈等離子體法凈化廢氣是近十年發(fā)展起來的新技術,是目前國內外環(huán)境治理新技術的研究熱點。其機理是利用前沿陡峭、窄脈寬(納秒級)的高壓脈沖電暈放電,在常溫下獲得非平衡等離子體,即產生大量的高能電子和O, OH等活性粒子,對工業(yè)廢氣中的有害氣體分子進行氧化、降解等反應,使污染物最終轉化為低毒或無毒物質。該類脈沖電源常見的結構形式有脈沖變壓器式電源、空心變壓器(Tesla )諧振充電式電源和磁壓縮式電源。其中脈沖變壓器式電源技術較為成熟,因此獲得了廣泛的應用。從國內外現有的研究資料看,可利用納秒級高壓脈沖電暈放電產生等離子體化學技術凈化的廢氣有:SO2, NOx、甲苯、二甲苯、

7、二氯甲烷、已醇等。脈沖電暈等離子體法脫硫脫氮技術具有很強的應用前景,是國內外普遍關注的熱點。美國、日本、荷蘭、俄羅斯、大利等國積極開展研究,國內曾將該研究列為“九五”攻關項目。脈沖電暈等離子體法脫硫脫氮技術的主要研究熱點是高壓窄脈沖電源的研制、反應器結構優(yōu)化、脫硫脫氮、等離子體化學反應機理及添加劑的選取等。2)高壓脈沖放電廢水處理由于高電壓技術易于實現高能化,近年來將高電壓技術用于處理難處理工業(yè)污水的研究己引起了國內外研究者們的極大的興趣。李勁、李勝利等提出了高壓脈沖放電等離子體水處理技術。高壓脈沖放電廢水處理基于以下四種效應:高能電子轟擊;臭氧殺菌;紫外線的光化學處理作用;放電等離子體中產生

8、的活性自由基的作用。高壓脈沖放電等離子體水處理技術使放電生產的臭氧與水直接作用,簡化了傳統臭氧凈水技術中氣體干燥、電極冷卻、水氣混合等程序,使裝置小型化,不僅避免了臭氧質量濃度隨時間的衰減,而且充分發(fā)揮放電產生的活性粒子的凈化作用。因此,與傳統的臭氧凈水方法相比,高壓脈沖等離子體水處理顯然具有更好的應用前景。高壓脈沖放電廢水處理的研究熱點主要集中在高壓脈沖電源的設計和等離子體生成法的優(yōu)化設計。脈沖靜電除塵傳統靜電除塵采用直流高壓供電方式。在這種供電方式下,由于粉塵層等效電容效應會造成反電暈現象,導致除塵率下降。當采用脈沖供電時,除塵器粉塵層的等效電容在脈沖施加期間只充上很少的電荷,在脈沖消失期

9、間所充電荷基本放完,所以除塵器粉塵層上不會因積累電荷形成高電壓而使粉塵造成反電暈。因此與常規(guī)直流電源供電的除塵器相比,脈沖供電電源除塵器的除塵效果更佳。此外,對于不同比電阻的粉塵,可通過調整直流基壓、脈沖頻率和占空比,使之達到最佳除塵效果。脈沖靜電除塵是一種先進的空氣凈化技術,如果將之與脫硫脫氮技術相結合,采用微秒級或納秒級的脈沖供電電源,可以實現脫硫脫氮技術與除塵技術一體化。目前國內外電除塵脈沖供電電源大多采用在直流基礎電壓上迭加脈沖電壓的設計方案,這種電源設計方案需要用兩臺變壓器構成兩套電源,分別用于產生直流基壓和脈沖電壓,因此電源的結構和控制系統都比較復雜,價格昂貴,在一定程度上限制了其

10、的推廣應用。(3)脈沖電源在其他領域的應用1)脈沖焊接電源電弧焊是焊接方法中應用最為廣泛的一種,它通過電弧供給加熱能量,使工件熔合在一起,達到原子間的接合?;『鸽娫词请娀『笝C中的主要部分,是對焊接電弧提供能量的一種裝置,它必須具有電弧焊接所要求的主要電氣性能。沒有性能良好工作穩(wěn)定的弧焊電源,很難保證電弧穩(wěn)定燃燒和焊接過程順利進行,同時也很難得到良好的焊接接頭,最終先進的焊接工藝更是不可能實現的?;『鸽娫闯S妹}沖形式,脈沖焊接可獨立地調節(jié)峰值電流、基值電流、脈沖寬度、脈沖周期或頻率等規(guī)范參數,表現在焊接工藝上,可增大焊縫的深寬比、防止燒穿、減小熱影響區(qū)、增加熔池的攪拌作用。逆變弧焊電源重量輕、省

11、材料、節(jié)能,而且控制性能好,動態(tài)響應快。目前在工業(yè)發(fā)達國家,手工電弧焊、鎢極氫弧焊TIG)、氫氣電弧焊CMIG)、C02電弧焊(MAG)和等離子切割等己廣泛采用逆變電源。目前逆變焊接電源的發(fā)展和研究主要集中在以下一些方面:功率開關器件向IGBT更新換代;磁性材料的發(fā)展;功率控制方式的發(fā)展;自動化及智能控制技術的應用。逆變弧焊電源采用傳統的模擬控制方式,存在著一些弊端,很大程度上制約了逆變弧焊電源的進一步發(fā)展,由此產生并推動了數字化焊接電源的發(fā)展。目前,國外已有數字化焊接電源產品問世,最具代表性的如奧地利FRONIIJS公司生產的TR.ANSPi.USSYNERGiC系列TPS2700/4000

12、15000全數字化焊接電源。它的心臟部分是一個數字信號處理器,由它集中處理所有焊接數據,監(jiān)測和控制整個焊接過程,焊機具有引弧、精確控制電弧、專家系統、一機多功能、焊接數據接口和評價系統等功能。在國內,數字化焊接電源尚處于探索性研究階段,某些高校和科研機構己在這方面開展了工作。上海交通大學焊接研究所1999年提出了“數字化焊接電源”的研究課題,北京工業(yè)大學材料學院分析了數字化焊接電源的特征,提出了“全數字化控制焊接電源的方案”,華南理工大學提出了基于DSP的弧焊逆變電源數字化控制系統。2)脈沖激光電源激光器在工業(yè)生產中廣泛應用,其中尤以C仇激光器,燈泵浦YAG固體激光器,以及準分子激光器為主。激

13、光電源是激光系統中一個重要的組成部分,是決定激光器整體性能的重要因素。目前國內應用較廣,技術上比較成熟的脈沖激光電源主要包括諧振充電式激光電源,開關型高頻脈沖電源。諧振充電式激光電源在激光器電源中應用十分廣泛,它的原理簡單,經過長期應用,技術上較為成熟,但整套裝置體積龐大,可控硅全橋整流的控制和驅動電路復雜,成本較高。開關型高頻脈沖電源主要利用開關器件將一種形式的電能轉變?yōu)榱硪环N形式的電能,這類激光電源的體積小,重量輕,高效節(jié)能,動態(tài)響應速度快。70年代中后期出現了MOS場效應晶體管,特別是80年代問世的功率MOSFET,以及派生的MOS型絕緣柵雙極型晶體管IGBT,其特性和功能的改善和發(fā)展,

14、使激光電源技術得到了極大的促進。1.4課題的主要內容由于脈沖電源擁有廣闊的應用領域,因此研制高效、高可靠性、智能化、輸出特性優(yōu)良的脈沖電源對工程應用有重要的實際意義。同時,脈沖電源的研究涉及電力電子、新型功率開關器件的應用、自動控制技術、電磁理論、材料科學和電路系統建模、優(yōu)化等多方面內容,因此具有廣泛的理論和學術意義。本文的研究重點在于高壓脈沖電源采用單片機控制高頻PWM調制芯片SG3525工作時間的方法,改變逆變電路工作狀態(tài),最終使系統輸出脈沖波形。其輸出脈沖電壓幅度連續(xù)可調,脈寬和頻率也均可由用戶在規(guī)定范圍內調整。同時還采取了有效的電源輸出保護策略,當系統過流時,立即進行保護動作,且不會因

15、為實現保護功能而引起其他器件的損壞或對用戶造成人身傷害,研究出一種安全性高,穩(wěn)定可靠的可調高壓脈沖電源。2脈沖電源總體結構2.1脈沖實現方式實現脈沖電源的方式有很多,但歸結起來大致可分為三種。第一種是利用儲能元件,如L,C的充放電實現脈沖輸出;第二種是利用逆變將直流電變換為脈沖輸出;第三種是利用直流斬波原理輸出脈沖電壓。比較而言,儲能放電法結構簡單,能獲得高壓窄脈沖,但脈沖波形不易控制,脈沖參數不易調節(jié)。逆變法是利用開關管將直流電轉換成一定頻率的脈沖,這種電路的結構較為復雜,由于采用了高頻變壓器使其體積、重量、效率均有所提高,但它的缺點也在于脈沖的幅值、頻率、占空比不易調節(jié)。2.2脈沖電源總體

16、結構 圖22系統整體結構框圖圖2.2為系統整體結構框圖。系統工作流程為:系統上電之后,用戶通過鍵盤設定滿足要求的系統輸出脈寬和頻率,其間全部設定操作過程均可在液晶頁面上得以體現。當按下“ENTER鍵后,單片機立即產生高低電平控制SG3525工作時間,單片機引腳輸出高電平時SG3525不工作,則無驅動脈沖,系統輸出脈沖低電平;反之,系統輸出脈沖高電平。通過輸出信號采樣及檢測電路,系統輸出的脈沖電壓、電流、脈沖和頻率都會顯示到液晶屏幕上。系統運行過程中,可按下“MODIFY”鍵,進入修改頁面進行輸出參數的重新設定。3系統硬件電路的設計.1主電路拓撲結構3.1.1常用拓撲結構開關變換器的拓撲結構指能

17、用于轉換、控制和調節(jié)輸入電壓的功率開關元件和儲能元件的不同配置。開關變換器拓撲結構可分為兩種基本類型,非隔離型和隔離型6。非隔離型電路即各種直流斬波電路,根據電路形式的不同,可以分為降壓型(Buck)電路、升壓型(Boost)電路、升降壓(BuckBoost)型電路、Cuk型電路。降壓型電路只能升壓不能降壓,輸出與輸入同極性,輸入電流脈動大,輸出電流脈動小,結構簡單。升壓型電路只能升壓不能降壓,輸出與輸入同極性,輸入電流脈動小,輸出電流脈動大,不能空載工作,結構簡單。隔離型電路指輸入側與輸出側通過一個高頻變壓器隔離,可實現多路輸出。常用的有正激式、反激式、推挽式、半橋和全橋。正激型電路較簡單,

18、成本低,可靠性高,但變壓器單向勵磁,利用率低,適用于各種中小功率開關電源。反激型電路非常簡單,成本很低,可靠性高,驅動電路簡單,但難以達到較大的功率,適用于小功率場合。全橋型電路中變壓器雙向勵磁,容易達到較大功率,但電路結構復雜,成本高,可靠性低,需要復雜的多組隔離驅動電路,有直通和偏磁問題,適用于大功率工業(yè)開關電源、焊接電源、電解電源等。由于本電源輸出功率不高,輸出最大電流為10mA,最大電壓為50KV,最大輸出功率為500W,屬中小功率,故可采用半橋式逆變電路作為主電路拓撲結構。3.1.2半橋逆變式變換器工作原理半橋逆變電路具有高頻變壓器利用率高,截止開關管極間承受的電壓低,抗不平衡能力強

19、等優(yōu)點,其工作原理如圖31所示9-11。當上管VFl的柵極驅動脈沖變?yōu)楦唠娖綍r,vFl飽和導通,此時加在VF2漏極的高壓電源+300V經C31到變壓器T1的原邊繞組,再經C33到地,形成C33的充電回路。圖3.1半橋式功率變換器簡化電路而電容器C32則經Tl、C31、VFl放電。使2個電容器中點電位VA在前半周期結束時升高了AVEl。當VFl變?yōu)榻刂埂?尚未導通時,兩管中點電壓Vo又恢復到接近12的半電源電壓值。當橋壁下管VF2的柵極驅動脈沖變?yōu)楦唠娖綍r,VF2飽和導通,電源電流又由+300V經C32、T1、C31到地,形成c32充電回路。此時VFl截止,C33則經T1、C31、飽和導通的VF

20、2放電。因此中點電壓V在后半周期結束時又下降了VE20如果電路參數對稱,則AVEl=VE2,中點電位V在開關過程中將以電源電壓一半值E2為中心,按VE幅度作指數規(guī)律的上升和下降變化。半橋逆變電路的工作波形如圖32所示。其中a、b是兩路驅動脈沖電壓波形,它們的相位差為1800。在驅動電壓的輪流開關作用下,半橋變換器的2只功率MOSFET交替導通和截止,在變壓器T1的原邊產生高壓開關脈沖,從而在副邊感應出交變的方波脈沖,實現功率轉換。當開關管VFl(或2)導通時,加于變壓器原邊繞組上的電壓是電容器C32(或C33)兩端的電壓。在電路中,由于開關管特性不一致,引起開關管VFl的導通時間比開關管VF2

21、的長,則電容C32兩端的平均電壓就會比電容C33兩端的低。故VFl導通時,加于變壓器原邊繞組兩端電壓的幅值,就會比2導通時的要低,從而就能夠使加到變壓器原邊繞組兩端正負方波的伏秒積分始終維持相等。因此,此電路的抗不平衡能力是比較強的。雖然半橋逆變電路自身具有抗不平衡能力,但在實際應用電路中,通常在高頻變壓器原邊電路中,串入一只容量足夠大的電容C31。其作用是用來進一步增強電路的抗不平衡能力,防止由于開關管的特性差異而造成變壓器磁芯飽和。圖3.2半橋式逆變電路工作原理波形3.2高頻開關電源主要功能模塊3.2.1全隔離單相交流調壓模塊由于本脈沖電源系統適用于不同負載,因此要求輸出脈沖電壓的幅值需要

22、在10KV-,50KV連續(xù)可調,那么就需要設計電壓調節(jié)電路。為了簡化電路設計,本論文引入全隔離單相交流調壓模塊。該模塊是集同步變壓器、相位檢測電路、移相觸發(fā)電路和輸出可控硅于一體,當改變控制電壓的大小,就可改變輸出可控硅的觸發(fā)相角,即實現單相交流電的調壓。根據輸出可控硅器件不同分一只雙向可控硅的普通型,兩只單向可控硅反并聯的增強型和一只單向可控硅的半波型等三類。按單相交流負載的額定電壓分220V和380V兩類,按控制信號的不同分E、F、G、H型等四類。根據要求,本系統采用普通型DTY220D40E型交流調壓模塊。圖33為此模塊220V交流電網控制電路圖。圖3.3 220V交流電網自動控制電路圖

23、 為輸出端,即模塊內部可控硅的兩極,增強型和普通型的端無極性,半波型模塊內部單向可控硅的陽極接端,陰極接端。為模塊內部同步變壓器初級,分220Vac和380Vac兩種規(guī)格:220Vac規(guī)格的模塊允許使用在165-240Vac范圍的電網上,380Vac規(guī)格的模塊允許使用在285-420Vac的電網上,不分極性。COM為內部地端,CON為控制端,+5V端為內部產生,只供電位器手動控制用。的強電部分和+5V、CON、COM端的弱電部分為全隔離,其應用電路如下所示。圖34為其輸入輸出關系曲線及波形圖。有關技術指標及應注意的問題為: (1)通過加在負載上的電壓相位和端的電壓相位必須一致,否則失控。電網頻

24、率須為50Hz。 (2)CON對COM必須為正,如極性相反則輸出端失控(全開或全閉)。當控制端CON從0-5V改變時,交流負載上的電壓從0V到最大值可調(對阻性負載而言)。其中CON在O08V左右時為全關閉區(qū)域,可靠關斷模塊的輸出;CON在08V-一46V左右為可調區(qū)域,即隨著控制電壓的增大,導通角a從180。到0。線性減小,交流負載上的電壓從0V增大到最大值;CON在46V5V左右時為全開通區(qū)域,交流負載上的電壓為最大值。圖3.4控制電壓與可控硅輸出導通角關系曲線及波形圖 (3)CON對COM的輸入阻抗分E、F和H型均為大于等于30Kf2;G型為250fl。+5V電壓信號只提供給手控電位器用

25、,不作它用,所選用的電位器阻值在2KCJ-10KQ間,注:4mA-20mA的G型不能用電位器手動調節(jié),此時+5V端也沒有用處。(4)單相交流異步電動機的調速原則上應采用變頻器,只有風機類、水泵類單相電機在要求不高的場合可采用單相調壓模塊。(5)三只單相調壓模塊不能使用在三相電網上對三相負載調壓。(6)弱電部分、強電部分、模塊底板相互間絕緣電壓均大于2000Vac。(7)整個模塊的發(fā)熱量按負載實際電流安培數乘15WA計算,散熱器可選用的型號有E40、F70、F100及G系列。3.2.2輔助電源電路輔助電源電路是一個系統的核心,是保障系統正常運行的必要條件。開關電源的穩(wěn)壓精度高,但是只能穩(wěn)定一路電

26、壓。本系統的信號處理電路和各種驅動電路需要多組直流穩(wěn)壓電源為系統供電。所以單純的選擇開關電源既不經濟也無法滿足系統的要求。所以本系統采用2個三端穩(wěn)壓塊分別構成+12V和+5V穩(wěn)壓電路輸出,如圖35所示。+12V電源為脈寬調制芯片SG3525及各功能電路的運算放大器供電;+5V電源主要為單片機及其所有外圍設備供電,包括AD轉換芯片MAXl97,多路選擇器CD4052,以及液晶模塊及其背光電源。圖3.5輔助電源電路電網電壓經過變壓器與橋式整流電路后變成直流電。在經過一個有極性電容和一個無極性電容后濾去低頻和高頻諧波分量,在穩(wěn)壓塊的輸入端產生一個基本穩(wěn)定的電壓,經過穩(wěn)壓塊后產生一個穩(wěn)定的直流電壓。穩(wěn)

27、壓塊的輸入端要滿足在電網電壓下降至最低時還至少比輸出端的電壓高3V。由于+5V所提供的負載電流很低所以可以直接將其連接至+12V穩(wěn)壓塊的后端,這樣節(jié)省了變壓器副端的輸出。3.2.3脈沖形成電路本系統選擇AT89C51作為主控芯片,選擇脈寬調制芯片SG3525提供半橋逆變電路開關管的驅動脈沖,通過主控芯片AT89C51產生控制脈沖改變SG3525驅動脈沖時間形成最終系統輸出的高壓脈沖。下面簡要介紹所用到的芯片和脈沖產生電路12-13。 (1)SG3525簡介SG3525采用雙列直插式封裝,CMOS工藝,具有功耗小、驅動能力強、開關動作快、外接元件少等優(yōu)點。各引腳功能如下:1、2引腳分別為互差放大

28、器的反相輸入端和同相輸入端,3腳為同步輸出端,4腳為振蕩器輸出,5、6腳分別外接內部振蕩器的時基電容和電阻,7腳接放電電阻,8腳為軟啟動,9腳為誤差放大器的頻率補償端,10腳為關斷控制端,11、14腳為驅動脈沖輸出端,12腳為接地端,13腳接輸出管集電極電源,15腳接SG3525的工作電源,16腳為51V基準電壓引出端。SG3525內部結構框圖如圖36所示,它在第一代脈寬調制芯片SG3524的基礎上作了較大的改進,克服了SG3524的不足成為第二代集成電路脈沖寬度調制器,特別適合于半橋逆變電路的驅動信號控制。主要表現在以下幾個方面:第一,電路中設置了欠壓鎖定和限流關斷電路。為了在欠壓狀態(tài)下(U

29、25V時,欠電壓封鎖電路就開始工作,其上限值為8V,但在電路達到8V前,電路各部分已進入正常工作狀態(tài),而當從8V下降到75V時,鎖定電路又開始恢復工作,其中有05V的回差電壓,用于消除鉗位電路在閾值點處的振蕩。在鎖定電路工作期間,輸出一高電平,加至組合邏輯門電路的輸入端,以封鎖PWM的脈沖信號。SG3525沒有電流限制放大器,它采用了關斷控制電路來進行限流控制,只要將信號加于10腳就能實現限流控制。另外,10腳也可提供各種程序控制的需要。第二,改進了振蕩電路。主要是將時基電容CT的放電電路與充電電源分開,單獨設立引腳7,CT放電通過外接電阻RD來實現,改變RD即可改變CT的放電時間常數,從而改

30、變了死區(qū)時間,而CT的充電是由Ib規(guī)定的內部電流源決定的。振蕩器的振蕩頻率為:第三,輸出電路的改進。SG3525輸出級采用了圖騰柱輸出電路,它能使輸出管更快地關斷,Vl由達林頓管組成,最大驅動能力為100mA,Vz作為開關器件,在其導通時可以迅速把外接MOS管柵極上的電荷從它的集電極泄放至地,最大吸收電流為50mA。SG3525的脈寬調制過程為:SG3525的15腳為電源輸入端,其啟動電壓為8V以上。當電壓從8V降低至75V時,欠壓鎖定電路開始工作。輸出端11和14無脈沖輸出。當15腳建立正常工作電壓后,其內部即建立恒壓源和恒流源,為其內部電路正常工作提供能源。通過5,6腳外接定時元件以及7腳

31、放電端,使5腳產生鋸齒波信號,加于內部比較器的輸人端。當誤差放大器輸出端9腳電壓上升時,比較器輸出的脈沖寬度變窄,11或14腳輸出的脈沖寬度反而變寬;當誤差放大器輸出端9腳電壓下降時,情況與上述相反,從而實現輸出脈寬調制,并控制脈寬調制信號的頻率。2腳接基準電壓,1腳為輸出電壓取樣端。當1腳電壓升高時,經誤差放大9腳電壓下降,反之,9腳電壓上升。9腳上電壓的上升和下降;最終都表現在11,14腳輸出脈沖的寬窄變化上,以實現電路的自動穩(wěn)壓調節(jié)。10腳為檢測電路輸入端,即可用作過流檢測或過壓檢測。當10腳輸人高電位時,將關閉11,14腳的脈沖輸出,以保護開關管不受損壞。圖3.6 SG3525內部結構

32、框圖 (2)控制脈沖產生電路設計本系統通過單片機AT89C51控制SG3525的工作時間來產生半橋逆變電路的驅動信號,具體電路如圖37所示。用戶通過鍵盤把要求的系統輸出脈寬和頻率值輸入單片機,使其P10引腳輸出控制脈沖,高低電平時間可以通過程序算法求得。單片機P10引腳接到SG3525的關斷控制引腳。當P10為高電平時,觸發(fā)SG3525關斷引腳使其關斷,同時引腳11、14停止輸出驅動脈沖。這樣,半橋逆變電路的開關管無觸發(fā)信號無法工作,此時刻開始為系統輸出脈沖的低電平時間;反之,當單片機P10引腳輸出低電平時,此時刻開始為系統輸出脈沖的高電平時間。圖3.7脈沖產生電路 設輸出頻率設定值為fre_

33、set,脈寬設定值為pl_wid_set,則AT89C51的P10引腳輸出高電平的時間為: 低電平時間為: 當P10引腳輸出電平由高電平變到低電平時,由于SG3525有軟啟動功能,系統軟啟動。為了提高系統動作的及時性,應把軟啟動延時電容值取得小一些。本論文取軟啟動電容為1000pF2000pF。否則軟啟動時間過長,系統輸出電壓上升過慢,致使整個脈沖波形無法滿足要求。3.2.4高壓脈沖電源的控制及穩(wěn)定整個系統的控制由TMS320F2812 DSP芯片和IGBT驅動器來實現,主要通過恒定導通時間-恒頻控制的方法實現LCC串并聯諧振充電電路的軟開關,減少開關損耗,調節(jié)輸出電壓;及利用變頻變寬的控制方

34、法實現后級脈沖形成電路的輸出脈沖控制和IGBT同步觸發(fā)等。TMS320F2812開發(fā)板,內部集成了16路12位A/D轉換器、兩個事件管理器模塊、一個高性能CPLD器件XC95144XL,可實現過壓、過流保護在內的電源系統運行全數字控制,提高輸出電壓的精度和穩(wěn)定度。且采用軟件編程實現控制算法,使得系統升級、修改更為靈活方便。 根據開關穩(wěn)壓電源的相關原理,結合本系統的設計要求,論文采用脈寬調制控制芯片SG3525實現穩(wěn)壓功能,利用單相交流調壓模塊實現電壓調節(jié)功能,設計了一個穩(wěn)壓調壓電路,如圖38所示。圖3.8穩(wěn)壓調壓電路電路中取8KQ的同軸電位器,取4個RPl=10MQ串聯分壓,R47=160Kf

35、2,R48=R49=2KQ。橋式整流濾波電路和系統輸出端分壓電阻的選取,可以保證當同軸電位器滑到最上端和最下端時,分別對應輸出電壓的最大值和最小值,同時A點和A7點的分壓值均為25V。下面詳細介紹此電路工作過程。 穩(wěn)壓過程:穩(wěn)壓過程其實即為PWM控制芯片SG3525常見應用。當輸出電壓升高時,電壓反饋信號經過同軸電位器后進入SG3525的1腳,與2腳的基準電壓進行比較,1腳電壓比2腳高時,內部放大器輸出端為低電平,隨之9腳電壓降低,11腳和14腳的輸出脈沖變窄,然后驅動逆變電路縮短開關管導通時間,所以輸出電壓又下降,最終系統維持動態(tài)平衡于輸出電壓值。輸出電壓降低時與上述情況相反。調壓過程:首先

36、可以明確,圖38中的同軸電位器與交流調壓模塊和橋式整流濾波電路形成一個負反饋回路。當需要升高輸出電壓時,將同軸電位器向上滑動,則A點分壓值降低,小于比較器ICl同相輸入端的25V基準電壓,輸出高電平。由于電容C49的存在,調壓模塊輸出電壓緩慢升高,輸出電壓升高。同時A點電位隨之升高,由負反饋作用A點電位最終動態(tài)平衡于25V基準電壓。這樣,保證了輸入控制電壓穩(wěn)定,控制更加精確。調低輸出電壓過程與上述過程相反。本電路的一大特色的引入了同軸電位器,其用意是為了使輸出電壓紋波減小。當用戶調低輸出電壓時,若半橋逆變電路的輸入電壓沒有相應的減小,很容易出現系統輸出電壓紋波過大的現象。若半橋逆變電路的輸入電

37、壓不隨之下降,SG3525輸出觸發(fā)脈沖寬度將明顯減小,進而變壓器副邊繞組輸出電壓脈沖寬度明顯變窄,紋波增大。為此,在調壓電路中增設同軸電位器。當需要調低輸出電壓時,向下滑動同軸端,A點電位升高,經比較器ICl后,單相交流調壓模塊的控制端電壓隨之降低,即輸入電壓降低,保證變壓器副邊繞組輸出脈沖脈寬基本保持不變,有效減小輸出電壓紋波。3.2.5驅動電路 驅動電路是電力電子主電路與控制電路之間的接口,是電力電子裝置的重要環(huán)節(jié),對整個裝置的性能有很大的影響14-15。采用性能良好的驅動電路,可使電力電子器件工作在較理想的開關狀態(tài),縮短開關時間,減小開關損耗,對裝置的運行效率、可靠性和安全性都有很重要的

38、意義。本系統采用雙端全隔離型驅動電路,如圖39所示。 從SG3525驅動輸出端輸出幅值約為15V的方波電壓,經過耦合電容C34后,直接加在驅動變壓器兩端,電阻R31及R32是用來抑制寄生振蕩的,而電阻R33與R34是用來加速場效應管關斷的。 綜上所述,本系統所采取的驅動電路開關頻率高、驅動功率大、結構簡單且工作穩(wěn)定可靠,保證功率級與控制級安全隔離。一般的驅動電路還可以采用光耦隔離,使橋臂分別導通。但是光耦隔離最大的問題在于:如果某一個光耦驅動出現故障壞掉,那么此通路可能將一直保持高電平的通路狀態(tài),不會使場效應管關斷,那么這將導致同一橋臂的兩個管子同時導通,這是十分危險,也是萬萬不能的。如果采用

39、變壓器隔離驅動的形式控制場效應管的導通和關斷,絕對不會出現兩個開關管同時導通的現象。如果驅動出現故障,最壞的情況就是兩個管子都保持關斷的狀態(tài),通過以上的考慮,所以沒有采用光耦驅動。圖3.9驅動電路 圖39中也包括變壓器初級繞組的吸收電路16-18。開關穩(wěn)壓電源中的最高的反沖電壓,是在開關管截止時產生的,這個很高的反沖電壓就產生在開關變壓器初級繞組的兩端,同時也加在功率場效應管漏極和源極之間,這樣就對開關管是一個很大的威脅,為了消除或減少這種威脅,將吸收電路加在開關變壓器初級繞組的兩端,這樣就保證了開關管的安全,也保證了電路的安全。 圖39中采用的是電容器c36和電阻R35串聯后,與初級繞組兩端

40、并聯,其目的是為了使高頻自由振蕩變成低頻自由振蕩,自由振蕩頻率低了,那么向外輻射的干擾就會降低很多。當開關管截止時,由于在初級繞組兩端并聯了比分布電容大得多的電容C36(一般在幾百幾千pF),結果使其自由振蕩頻率降低了,又由于在電路中串聯了消耗能量的電阻R35(一般在幾百幾千Q),所以使振蕩很快衰減下去。3.2.6倍壓整流電路 一般的高壓電源均采用變壓器進行升壓,但容易增大電源設備體積。為了使設備小型化,本系統采用了倍壓電路升壓。倍壓電路具有升壓變壓器的作用,并且不使用濾波電容。倍壓整流電路的作用是,不僅可以將交流電換成直流電(整流),而且能夠在一定的變壓器副邊電壓之下,得到高出若干倍的直流電

41、壓(倍壓)。只要倍壓電路中使用電容的總體積不是很大,就可以減小整個電源設備的體積19-24。圖3.10普通倍壓電路 圖310所示為普通的多倍壓電路,以正弦波輸入為例。U2為正半周,電源電壓通過VD3l將電容C37充電到2U2,然后在負半周時(如圖310(c),VD32導通,此時電容C37上的電壓Uc37與U,的極性一致,它們共同將電容C38充電到22Uz。到下一個正半周時(如圖310(b),通過VD33向C39充電,Uc39=u2+uc38-Ue37=22U2。而在另一個負半周時(如圖310(c),通過VD3向C40充電,Uc40=u2+uc37+Ue39-u。3s=22U2。依此類推,可以分

42、析出電容C41、C42等也都充電到22U2,它們的極性如圖310所示。最后,只要把負載接到有關電容組的兩端,就可以得到相應的多倍壓直流輸出。 圖310所示電路的優(yōu)點是每個電容上的電壓不會超過變壓器次級峰值電壓的2倍,所以可以選用耐壓較低的電容。缺點是電容串聯放電,紋波大。這樣會帶來很多危害: (1)容易在用電器上產生諧波,而諧波會產生較多的危害; (2)降低了電源的效率; (3)較強的紋波會造成浪涌電壓或電流的產生,導致燒毀用電器; (4)會干擾數字電路的邏輯關系,影響其正常工作; (5)會帶來噪音干擾,使圖像設備、音響設備不能正常工作。圖3.11雙向4倍壓整流電路 由于本電源輸出10KV50

43、KV高壓,對于紋波大小的控制更是至關重要。所以本論文采用了一種雙向倍壓整流的方案,即把高壓變壓器安裝在倍壓電路的中間,如圖311所示,這樣整個電路相當于兩個4倍壓電路串聯。這樣做的目的主要是為了減小倍壓電路內部壓降,提高直流電源的穩(wěn)定度和效率,增強負載能力,可以大幅度地減小電源輸出的紋波系數。倍壓整流電路內部壓降計算公式為:整個倍壓整流電路直流輸出電壓為: vacp為倍壓整流電路的輸入電壓,也即高壓變壓器的輸出電壓,由分析知,變壓器的輸入電壓為100V,變比60,考慮變壓器內部的損耗,取變壓器效率為80,則Vacl,=6250V,通過計算取n=4,f=20KHz,輸出電流Io為10mA,選用的

44、電容參數為耐壓15KV,容量為5000pF,硅堆的耐壓參數為30KV。3.3磁性元件設計3.3.1磁性材料和結構 開關電源中的磁性元件常用的材料是軟磁材料。軟磁材料指的是剩磁和矯頑力均很小的鐵磁材料,特點是易磁化、易去磁且磁滯回線較窄。軟磁材料按照主要成分、磁性特點、結構特點,大致可分為三類25: (1)金屬磁芯:硅鋼片、坡莫合金、非晶及納米晶合金。除非晶及納米晶合金外,這類材料常用在頻率低于30KHz的場合,因而在開關電源中用得較少。 (2)磁粉芯:磁粉芯是由鐵磁性粉粒與絕緣介質混合壓制而成的一種軟磁材料,一方面可以隔絕渦流,故適用于較高頻率:另一方面,材料具有低導磁率及恒導磁特性,直流電流

45、疊加性能好,主要用于高頻電感。常用的磁粉芯有鐵粉芯、坡莫合金粉芯及鐵硅鋁粉芯三種。 (3)鐵氧體磁芯t鐵氧體是復合氧化物燒結體,有錳鋅鐵氧體、鎳鋅鐵氧體、銅鎂鋅鐵氧體等幾類,其中錳鋅鐵氧體的產量和用量最大。鐵氧體在應用上很方便,而且電阻率遠大于金屬磁性材料,可抑制渦流的產生,磁導率隨頻率的變化特性穩(wěn)定,在150KHz以下基本保持不變。此外,鐵氧體具有高的飽和磁感應強度。隨頻率增大,損耗上升不大,隨溫度提高,損耗變化不大。廣泛應用于功率扼流圈、并列式濾波器、開關電源變壓器、開關電源電感、功率因數校正電路。 磁芯的基本結構有26: (1)疊片型:通常由硅鋼或鎳鋼薄片沖剪成E,I,F,O等形狀,疊成

46、一個鐵芯。 (2)環(huán)形鐵芯:由O型薄片疊成,也可由窄長的硅鋼、合金鋼帶卷繞而成,此形鐵芯繞線困難。 (3)C形鐵芯:此種鐵芯可免去環(huán)形鐵芯繞線困難的缺點,由兩個C形鐵芯對接而成,因此可用機械繞線,線圈可填滿整個窗口。 (4)罐形鐵芯(POT):它是磁芯在外,銅線圈在里,免去了環(huán)形線圈繞線不便的一種結構形式,可以減少EMI。3.3.2絕緣問題 高壓變壓器的絕緣包括高壓邊對原邊的絕緣、高壓邊對鐵芯的絕緣、高壓邊端部的絕緣。提高絕緣一個困難在于高頻變壓器的體積較小,絕緣距離受到限制;另一個困難在于提高絕緣強度和降低漏感是一對矛盾,提高絕緣強度要求高壓邊對原邊及對鐵芯的距離越遠越好;而降低漏感則要求高

47、壓邊對原邊及對鐵芯的距離越近越好。同時,為了降低變壓器的分布電容,絕緣材料的介電常數一定要小。3.4人機接口電路 本系統需要用戶使用鍵盤進行必要的操作,同時把操作進程顯示在液晶屏幕上供用戶實時查看,因此需要設計一個良好、簡潔、清晰的人機接口電路。3.4.1鍵盤輸入模塊的設計 當系統首次啟動后,用戶需要通過鍵盤輸入頻率和脈寬的初始設定值;當系統正常運行過程中,根據負載的變化,用戶需要改變輸出的頻率和脈寬值,同樣需要使用鍵盤進行修改。下圖即為鍵盤輸入的硬件結構圖。 本系統設置了6個鍵盤按鈕,一端分別與AT89C51的P2OP25引腳相連,另一端均接地。程序設計采用查詢方式檢測鍵盤接口,單片機通過讀

48、取P20-P25引腳的電平狀態(tài)來判斷用戶動作。當沒有鍵按下時,P20P25引腳呈現高電平,單片機不動作,當有鍵按下時,其對應的單片機引腳電平被拉低,芯片內檢測到低電平后,進入相應程序語句執(zhí)行對應的操作。各按鈕定義如下: SURE鍵:此鍵為多功能復用按鈕。其一為在設定脈寬和頻率數值時,選項確定之后按下此鍵即可開始進行數值修改;其二為當數值修改完成后按下此鍵即表示確定同時將修改值送入單片機程序進行運算。 CANCEL鍵:此鍵為取消功能按鈕,或者也可理解為返回按鈕。按下此鍵表示取消上一操作。 UP鍵:此鍵為多功能復用按鈕。其一為進行脈寬和頻率的數值修改選擇;其二為數值加1功能按鈕,按下一次即實現數值

49、加1。 DOWN鍵:此鍵為多功能復用按鈕。其一為進行脈寬和頻率的數值修改選擇;其二為數值減1功能按鈕,按下一次即實現數值減1。 MODIFY鍵:此鍵為修改按鈕。當系統正常運行過程中,按下此鍵,即可進入脈寬和頻率修改界面進行修改數值操作,同時單片機持續(xù)發(fā)送高電平,系統進入待機狀態(tài)。 ENTER鍵:此鍵為開始運行按鈕。本系統需要用戶設定的只有脈寬和頻率這兩項數值,當在液晶初始設定界面和修改界面完成操作之后,按下此鍵,系統立即進入運行狀態(tài),單片機開始發(fā)送控制脈沖給SG3525。圖3.14鍵盤接口電路3.4.2液晶接口電路由于液晶模塊要兼顧到用戶操作界面和系統正常運行過程中輸出信息的顯示,而控制芯片C

50、D4052只有2個定時器,已經在輸出控制脈沖程序中使用,所以,本系統采用擁有3個定時器的單片機CD4052來執(zhí)行輸出信息采集及其數據處理和液晶顯示工作. (1) CD4052是一個雙4選一的多路模擬選擇開關,具有低導通阻抗和非常低的關斷泄漏電流。其使用真值表如表33所示。表3.3 CD4052真值表 應用時可以通過單片機對A,B的控制來選擇與液晶模塊連接通路。例如:需要從4路輸入中選擇第2路輸入,假設使用的是1X,1Y,那么單片機只需要分別給A和B送1和O即可選中該路,然后進行相應的處理。注意,第6腳為使能腳,只有為低電平時,才會有通道被選中輸出。 (2)液晶接口電路本系統中所采用的是深圳市精

51、銳通實業(yè)有限公司生產的WGM12864K3型圖形點陣式液晶顯示模塊。WGM12863K3是一種圖形點陣液晶顯示模塊,它主要由行驅動器、列驅動器及128x64全點陣液晶顯示器組成??赏瓿蓤D形顯示,也可以顯示4x8個(16x16點陣)漢字。下面介紹一下主要技術參數和性能:電源(VDD):+5V。顯示內容:128(列)x64(行)點,全屏幕點陣。七種指令。IC內帶8139個16x16點陣中文字庫,126個16x8字母符號,并提供4個16x16點陣的自定義字功能。與CPU接口采用串行控制方式。(D占空比(DuTY):132。工作溫度:20+70,存儲溫度:30+85。模塊工作電流約為3mA(5V),背

52、光工作電流約為40mA(5v)。 WGM-12864系列液晶采用臺灣矽創(chuàng)電子公司生產的ST7920作為液晶驅動控制芯片。其IO接口特性如表3.4所示:表34液晶驅動控制芯片I0接口特性 本系統采用雙單片機芯片通過CD4052多路選擇開關與液晶模塊進行分時選通控制,單片機AT89C51串行控制的時鐘端和數據端分別選擇P26、P27引腳,AT89C52的則分別為P20、P21引腳。兩個單片機、CD4052和液晶模塊的電源輸入端都接在同一個+5V輔助電源上。多路開關CD4052的INH、Vee和Vss引腳均接地,與其共地的還有AT89C51和AT89C52的接地端,液晶模塊電源負極和背光燈接地端。圖

53、315為液晶接口模塊的硬件連接圖。圖3.15液晶接口電路 如圖315所示,主控芯片AT89C51的P11和P12引腳與CD4052的A、B引腳相連,在單片機程序的控制下,通過改變AT89C51單片機上P11與P12引腳上的高低電平,即可實現使多路選擇開關選通不同的數據通路。3.5信號采集電路3.5.1輸出信號采集電路系統輸出信號包括輸出電流、輸出電壓、輸出電壓的頻率和脈寬這4個需要被采集的信號。輸出信號采集電路如圖316所示。 電壓采樣電路在系統輸出端采用若干分壓電阻串聯方式,經過電壓跟隨器后輸出電壓采樣信號。電路中取RF為200Kf2,Rv為320Kf,選用志耕電子有限公司精密金屬膜電阻器M

54、ELF系列的0204型號,分壓電阻RP取值400Mf2,選用北京酒安迅達公司R18型號的片狀玻璃釉電阻器,耐壓15KV。因為輸出端為10KV-一50KV高壓,為保護后續(xù)電路安全,需要8個400M92的分壓電阻使采樣電路電流在安全范圍之內。信號采樣電路的主體形式為電壓跟隨器,其輸入端接有限流電阻,穩(wěn)壓管和濾波電容,穩(wěn)壓管穩(wěn)壓值為后續(xù)電路中運放的電源電壓值,這樣保證了即使在過壓情況下后續(xù)電路元器件的安全。電壓采樣電路分壓電阻的選取可以保證當系統輸出電壓在最大到最小值之間變化時,B點電位在5V到1V之間呈線性變化。由于系統輸出端為脈沖形式,經過射隨器IC6后的電壓波形仍為脈沖形式,所以在其輸出端選取

55、參數值合適的阻容電路將脈沖波形轉化為直流波形,之后送入A,D轉換芯片MAXl97的1號通道。由于輸出頻率要求在1Hz-一50Hz內變化,再考慮安全佘量,則RC時間常數應大于或等于1s。電壓采樣電容cv應大于或等于10uF;電流采樣與電壓采樣類似,最后電流采樣信號送入AD轉換芯片MAXl97的2號通道。對于輸出脈沖的頻率和脈寬采樣電路,其實現方法是采用比較器輸出高低電平模擬實際系統輸出脈沖的方式。圖316中A點電位是脈沖形式,其分壓電阻的選取與電壓采樣中分壓電阻的選取相同,但是為了保證兩路采樣信號的精確性,沒有在同一支路上取樣。A點分壓值對應輸出電壓10KV-一50KV在1V-一5V范圍內變化。

56、這樣,當輸出電壓處于10KV50KV的高電平時,A點電位處于1V-5V的高電平,大于比較器IC7反相輸入端的05V基準電壓,比較器輸出高電平;反之,比較器輸出低電平,最后形成的脈沖信號送入AT89C52的相應引腳進行脈寬與頻率檢測。圖3.16輸出信號采樣電路352AD轉換電路 AD轉換電路是系統輸出的模擬信號與單片機所能識別的數字信號溝通的橋梁,本系統采用MAXIM公司推出的12位逐次漸進式AD轉換器MAXl97。下面首先介紹其主要性能特點35-36。MAXl97具有12位分辨率和12LSB的線性度;單-+5V電源供電;可選擇的輸入電壓范圍為:0-5V、010V、+5V、10V;8路可獨立編程

57、的模擬輸入通道;轉換時間:6,ts;采樣速率:100kbps;內部或外部時鐘;內部406V基準電壓源或外接基準源:內部或外部采集控制;兩種掉電工作模式:待掉電模式和全掉電模式:輸入通道耐壓至165V。 其次介紹MAXl97引腳及其功能。MAXl97有28腳DIP(窄型)、寬型SO、SSOP等封裝形式。本系統采用DIP封裝。D0-D11為輸出數據線;CH0-CH7為模擬量輸入通道;CS為片選端;RD,WR為讀寫控制端;CLK為時鐘輸入;INT為轉換結束信號。HBEN為12位轉換結果輸出端,HBEN=I-高4位輸出;HBEN=0:低8位輸出。SHDN為省電控制端,SHDN=0時,MAXl97進入省

58、電模式。REFADJ為帶隙電壓基準輸出/外部調整端;REF為基準緩沖輸出艙DC基準輸入;VDD接+5V,DGND為數字地,AGND為模擬地。 最后說明MAXl97控制字格式。MAXl97的工作模式由其控制字決定,控制字格式由高位到低位依次為PDl、PD0、ACQMOD、RNG、BIP、A2、A1、A0。 (2)MAXl97轉換模式及時序 MAXl97的轉換模式由ACQMOD決定,ACQMOD=0:內部控制的轉換模式。ACQMOD=I:外部控制的轉換模式。外部轉換模式時序如圖317所示。圖3.17 MAXl97外部轉換模式及時序 (3)AD轉換電路從輸出端采樣經電阻分壓得到1V-5V的輸出電壓信

59、號,電流采樣經保護電路得到等值輸出電流對應的電壓信號。這兩個信號進入MAXl97進行模數轉換,最終送入單片機通過程序控制使其在液晶模塊中顯示出來。本系統采用MAXl97內部時鐘模式,內部控制的轉換模式和內部的參考電壓值4096V,量程為0-一10V。圖318為AD轉換電路圖。圖3.18 AD轉換電路圖 本論文選擇CH0與CHl通道分別作為電壓和電流的采樣輸入通道。對AD轉換芯片來說,高精度的參考電壓是十分重要的,因為他可以直接影響數據轉換的精度,一般的AD轉換芯片都要外接參考電壓,而MAXl97內部自帶參考電壓,本電路即采用其內部4096V的參考電壓。 圖318中REF腳接47,uF電容到地,

60、同時REFADJ腳接001zF至U地是采用內部參考電壓的典型接法。兩芯片的讀寫控制端相連,單片機的P0口線用來接收MAXl97的12位轉換結果。AT89C52通過檢測P16腳電平來查詢轉換是否結束,通過對P17腳的置位來分時傳送12位檢測結果的高位與低位,最后由單片機的程序控制將輸出電壓和電流顯示在液晶模塊上。4系統軟件設計 有了硬件電路這個平臺,還需要結合一定的軟件才能使系統有效的執(zhí)行預期功能。軟件的研發(fā)主要是針對本單元核心處理器AT89C51和AT89C52的編程,使其在各條指令的控制下,有序高效的完成各項任務。在研制單片機應用系統中,匯編語言是一種常用的軟件工具,它能直接操作硬件,指令的

61、執(zhí)行速度快,但其指令的固有格式受硬件結構的限制很大,難以編寫與調試,可移植性也差。與匯編語言相比,C51在功能、結構、可讀性、可維護性上有明顯的優(yōu)勢,另外,C51的語言簡潔,可移植性好,生成的代碼質量高,在代碼效率方面可以與匯編語言相媲美。因此,(251己成為開發(fā)51系列單片機的流行軟件工具。本系統的軟件開發(fā)同樣也是基于C51編型了71。 本系統的軟件部分主要包括兩大部分功能模塊,其中單片機AT89C51主要負責控制功能的實現,例如鍵盤輸入模塊和控制脈沖產生程序。而AT89C52則主要作為檢測芯片完成系統輸出電壓與電流的模數轉換以及脈寬和頻率的測定功能。與此同時,不管在控制芯片或者檢測芯片工作

62、過程中,兩者都涉及到液晶顯示功能的實現。 本系統主要采用模塊化的思想對系統的軟件模塊進行劃分。主程序主要完成對各個功能塊的依次調用即可。下面將予以詳細介紹。4.1整體程序流程 本系統的整體程序主要完成脈寬頻率值的設定,控制脈沖的產生,輸出參數的檢測和顯示等功能。此程序是系統軟件部分的主干,其他各個模塊的子程序都在主程序的基礎上發(fā)展起來,受主程序調用。系統整體程序流程見圖41。 系統上電后,首先進行各個參數變量的初始化工作,然后在液晶上顯示系統歡迎界面“歡迎使用本系統,持續(xù)數秒延時后進入初始設定界面,在此界面用戶需設定要求的輸出脈寬和頻率值。在等待鍵盤操作的同時,液晶上一直顯示初始設定界面內容直

63、到有按鍵被檢測到為止。當“ENTER”鍵被按下時,說明默認參數無須修改,直接開始輸出控制脈沖;當“SURE鍵被按下時,說明需要對默認參數進行修改,則進入參數設定子程序,其中包括keyboard()和key_scan()兩個函數調用,前者完成修改項選擇功能,后者實現鍵盤掃描以及數值修改的操作。當設定完畢之后,同樣按下“ENTER鍵開始輸出控制脈沖。由于設計要求在運行過程中需要對輸出參數進行調整,所以設置了修改功能。當“MODIFY鍵被按下后,單片機持續(xù)輸出高電平信號,同時進入設定界面配合鍵盤操作修改輸出參數使之滿足要求;而“MODIFY鍵不動作時,AT89C51始終保持輸出控制脈沖并進行鍵盤掃描循環(huán)動作,AT89C52開始檢測輸出參數并且顯示在液晶屏幕上。圖4.1整體程序流程4.2控制芯片程序模塊4.2.1鍵盤處理程序圖4.2keyboard0函數流程圖 在單片機應用系統中,通常具有人機對話功能,能隨時發(fā)出各種控制命令和數據輸入以及報告應用系統的運行狀態(tài)與運行結果,因此鍵盤電路被廣泛應用于各種人機界面的環(huán)節(jié)中。鍵盤電路可分為獨立連接式和矩陣式兩類,每一類

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網站聲明 - 網站地圖 - 資源地圖 - 友情鏈接 - 網站客服 - 聯系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網版權所有   聯系電話:18123376007

備案號:ICP2024067431-1 川公網安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網,我們立即給予刪除!