數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))

上傳人:wz****p 文檔編號(hào):46403213 上傳時(shí)間:2021-12-13 格式:DOC 頁(yè)數(shù):37 大?。?77KB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))_第1頁(yè)
第1頁(yè) / 共37頁(yè)
數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))_第2頁(yè)
第2頁(yè) / 共37頁(yè)
數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))_第3頁(yè)
第3頁(yè) / 共37頁(yè)

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字電壓表的設(shè)計(jì)畢業(yè)設(shè)計(jì)(共37頁(yè))(37頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、精選優(yōu)質(zhì)文檔-傾情為你奉上華東交通大學(xué)理工學(xué)院Institute of Technology. East China Jiao tong University 畢 業(yè) 設(shè) 計(jì) Graduation Design(2011 2015 年)題 目 數(shù)字電壓表的設(shè)計(jì) 分 院: 電氣與信息工程分院 專 業(yè): 工程及其自動(dòng)化 班 級(jí): 電力2011-1 學(xué) 號(hào): 學(xué)生姓名: 田唯迪 指導(dǎo)教師: 起訖日期: 2015-01-012015-05-10 摘 要在電子應(yīng)用領(lǐng)域,工業(yè)自動(dòng)化儀表已經(jīng)有了非常廣泛的應(yīng)用。本文設(shè)計(jì)的數(shù)字電壓表以AT89C51單片機(jī)為主要控制器件,利用ADC0808把模擬信號(hào)轉(zhuǎn)換為數(shù)字信

2、號(hào)并加以顯示的電路。它的設(shè)計(jì)主要包括硬件電路和系統(tǒng)程序兩部分設(shè)計(jì)。硬件電路主要是單片機(jī)最小設(shè)計(jì)模塊、A/D轉(zhuǎn)換模塊和顯示模塊的設(shè)計(jì),系統(tǒng)程序設(shè)計(jì)則是通過(guò)AT89C51單片機(jī)先將系統(tǒng)初始化,通過(guò)ADC0808轉(zhuǎn)換芯片把模擬量轉(zhuǎn)換成數(shù)字量,最后通過(guò)數(shù)碼管顯示數(shù)據(jù)。設(shè)計(jì)的數(shù)字電壓表的測(cè)量范圍為200mv10v,對(duì)直流電壓進(jìn)行測(cè)量。該電路功能強(qiáng)大,有報(bào)警系統(tǒng),可控制測(cè)量范圍,數(shù)碼管顯示精度高,可擴(kuò)展性強(qiáng)等優(yōu)點(diǎn)。數(shù)字電壓表的應(yīng)用在很多領(lǐng)域,有非常好的應(yīng)用前景。對(duì)數(shù)字電壓表進(jìn)行研究很有必要性。這對(duì)我們研究單片機(jī)技術(shù)是很有幫助的。關(guān)鍵詞:AT89C51;ADC0808;電壓測(cè)量;A/D轉(zhuǎn)換AbstractI

3、n electronic applications, industrial automation instruments have a very wide range of applications. This design of a digital voltmeter to AT89C51 microcontroller as the main control device, use it ADC0808 analog signals into digital signals and display them circuit. Its design includes hardware and

4、 system design program in two parts. The hardware circuit design module is the smallest single-chip design A / D converter module and display module, system programming is through the first AT89C51 SCM system initialization, by ADC0808 converter chip to convert analog to digital, and finally through

5、 a digital display data. Measuring range designed digital voltmeter is 200mv-10v, DC voltage measurement. The circuit is powerful, alarm system, control measuring range, digital display and high precision, scalability and other advantages.Application of digital voltmeter in many areas, there is a ve

6、ry good prospect. Conduct research on the digital voltmeter very necessity. This single-chip technology for our study is helpful.Key words: T89C52; ADC0808; Voltage measurement;A/D converter目 錄專心-專注-專業(yè)引 言數(shù)字電壓表簡(jiǎn)稱DVM,它是采用數(shù)字化測(cè)量技術(shù),能將連續(xù)的模擬量(直流輸入電壓)轉(zhuǎn)換成不連續(xù)的、離散的數(shù)字形式并加以顯示的儀表。目前,數(shù)字電壓表已被廣泛用于電子電氣測(cè)量、工業(yè)自動(dòng)化儀表、自動(dòng)測(cè)試

7、系統(tǒng)等領(lǐng)域。數(shù)字電壓表有很多優(yōu)點(diǎn):(1).通過(guò)數(shù)碼管顯示數(shù)字清晰直觀,讀數(shù)準(zhǔn)確,相對(duì)于借用指針和刻度盤的讀數(shù)有了很大的改進(jìn)。(2).數(shù)碼管顯示位數(shù)多,能測(cè)量的范圍更加廣,用途更加廣泛。(3).測(cè)量準(zhǔn)確度高,誤差越來(lái)越小。準(zhǔn)確度表示測(cè)量結(jié)果與真實(shí)值的一致性,反映了測(cè)量誤差的大小。(4).分辨率高。數(shù)字電壓表測(cè)量靈敏度高,微小電壓變化能在數(shù)碼管的動(dòng)態(tài)顯示中顯示。(5).擴(kuò)展能力強(qiáng)。在數(shù)字電壓表的基礎(chǔ)上,可改造成用于不同工業(yè)領(lǐng)域的儀器儀表。(6).測(cè)量速率快。它取決于A/D 轉(zhuǎn)換器的轉(zhuǎn)換速率。測(cè)電壓時(shí),電表兩端接觸被測(cè)電壓,數(shù)碼管即刻顯示被測(cè)電壓數(shù)值,相對(duì)于指針和刻度盤測(cè)量更加穩(wěn)定和便捷。(7).輸

8、入阻抗高。數(shù)字電言表在測(cè)量時(shí)從被測(cè)電路上吸取的電流極小,為顯示更加精確,可以用放大電路放大電流,不會(huì)影響被測(cè)信號(hào)源的工作狀態(tài),能減小由信號(hào)源內(nèi)阻引起的測(cè)量誤差。(8).集成度高,耗能少。新型的數(shù)字電壓表采用CMOS大規(guī)模集成電路,工作狀態(tài)時(shí)功耗很低,電池可用時(shí)間長(zhǎng)。(9).抗干擾能力強(qiáng)。(10)測(cè)量電壓時(shí)系統(tǒng)恢復(fù)初始化,無(wú)需像指針式電壓表那樣置零。1 緒論1.1選題的依據(jù)及意義 微型單片機(jī)自上世紀(jì)70年代首次出現(xiàn),因?yàn)槠鋬r(jià)格低而性能有很高,便于攜帶所占地方小、可以適配各種功能等等特點(diǎn)很快便引起了人們關(guān)注度,之后很多年的發(fā)展,現(xiàn)在常見(jiàn)于家用電器控制,節(jié)能設(shè)備、電子儀器、智能機(jī)器人等方向也有著廣泛

9、的應(yīng)用,在軍事設(shè)備、工業(yè)控制領(lǐng)域都用到單片機(jī)控制,可見(jiàn)現(xiàn)在的單片機(jī)更加微型,智能,在提高了產(chǎn)品的功能與質(zhì)量同時(shí),還降低了設(shè)計(jì)和使用成本。傳統(tǒng)模式的電壓表測(cè)量精度低,容易引入誤差,測(cè)量范圍小,功能簡(jiǎn)單,不能滿足數(shù)字化時(shí)代的需求,很有必要選用既要精度高又要有很強(qiáng)的抗干擾能力,并且同時(shí)還需要滿足擴(kuò)展性強(qiáng),外形小巧集成方便的芯片最為首選,體積小易于攜帶,還能與電腦端進(jìn)行實(shí)時(shí)通信?,F(xiàn)階段,在電工檢測(cè)方向、工業(yè)自動(dòng)化儀表控制、智能自動(dòng)測(cè)試系統(tǒng)中,由A/D 轉(zhuǎn)換器作為核心器件的數(shù)字電壓表被越來(lái)越廣泛的應(yīng)用,并且再有很大的份額和很強(qiáng)的生命力。由DVM技術(shù)發(fā)展而形成的通用及專用的更高級(jí)的數(shù)字儀器儀表也更多的應(yīng)用

10、在監(jiān)測(cè)電量和非電量的測(cè)試中并且得到了較快的發(fā)展,也將這項(xiàng)技術(shù)提高到了嶄新高度。1.2 國(guó)內(nèi)外現(xiàn)狀研究及發(fā)展趨勢(shì)電子技術(shù)近20年的發(fā)展,微電子技術(shù)、計(jì)算機(jī)技術(shù)、集成技術(shù)、網(wǎng)絡(luò)技術(shù)等高新技術(shù)越來(lái)越成熟。在這樣的情況下,人們不斷對(duì)數(shù)字電壓表提出了更高的要求,加快了數(shù)字電壓表的發(fā)展,技術(shù)人員要求數(shù)字電壓表速度更快、性能更穩(wěn)定,靈敏度更高、以及操作更方便,做到成本更低,樣品量提供量更少、和零污染。數(shù)字電壓表的發(fā)展大致如下:1. 新技術(shù)的廣泛應(yīng)用新的A/D轉(zhuǎn)換技術(shù)于上世紀(jì)90年代初在世界許多國(guó)家研發(fā)。自動(dòng)校準(zhǔn)技術(shù)、A/D轉(zhuǎn)換技術(shù)、資源再利用技術(shù),使得數(shù)字電壓表更加精度化、智能化、經(jīng)濟(jì)化、環(huán)保化,向著更高水

11、平發(fā)展。 2. 智能化階段 在電子技術(shù)、大規(guī)模集成電路及計(jì)算機(jī)技術(shù)日新月異的大背景下,人們很快研制出并量產(chǎn)了DVM數(shù)據(jù)處理和可編程程序在微處理器控制下的芯片,因?yàn)閿?shù)字電壓有數(shù)據(jù)存儲(chǔ)器ROM并使用C軟件編程,通過(guò)可進(jìn)行信息處理,儀器儀表經(jīng)過(guò)接口監(jiān)測(cè)系統(tǒng),從而進(jìn)行自校、自檢,自查三個(gè)步驟,以及高準(zhǔn)確性的運(yùn)行,這樣便實(shí)現(xiàn)了監(jiān)測(cè)系統(tǒng)的智能化當(dāng)前,智能化的DVM的出現(xiàn)以及智能化儀表發(fā)展的良好態(tài)勢(shì),DVM將會(huì)成為未來(lái)的發(fā)展趨勢(shì),并且會(huì)得到更多領(lǐng)域的應(yīng)用。這樣為各種物理量的動(dòng)態(tài)檢測(cè)的實(shí)現(xiàn)提供了可能。1.3研究的主要內(nèi)容本文介紹的一種簡(jiǎn)易數(shù)字電壓表。主要是由AT89c51單片機(jī)控制,顯示模塊由A/D轉(zhuǎn)換器和數(shù)

12、碼管控制,數(shù)據(jù)處理模塊及顯示模塊組成,芯片由ADC0808采集到的模擬量轉(zhuǎn)化為相應(yīng)的數(shù)字量再傳送到相應(yīng)的模塊處理中。芯片的主要任務(wù)是處理數(shù)據(jù),并且把0808送來(lái)的數(shù)字量進(jìn)行分析處理,再送到顯示模塊中顯示,由于元件較少,成本低,測(cè)量精度和可靠性較高系統(tǒng)的數(shù)字電壓表電路簡(jiǎn)單實(shí)現(xiàn)。2設(shè)計(jì)方案與論證2.1電壓表功能設(shè)計(jì)(1)對(duì)直流電壓的測(cè)量。(2)能對(duì)常見(jiàn)的交流信號(hào)的電壓進(jìn)行測(cè)量。(3)測(cè)量電壓的范圍從200mv到10V之間。(4)當(dāng)輸入電壓過(guò)大時(shí)能夠具有保護(hù)功能。(5)顯示功能。(6)其它附加功能。2.2設(shè)計(jì)思路電壓表由模擬和數(shù)字轉(zhuǎn)換兩大部分,電路應(yīng)盡量采用中、大規(guī)模集成電路。(1)為了滿足各方面的

13、設(shè)計(jì)需求,選擇AT89C51單片機(jī)為核心控制器件。(2)ADC0808和輸入放大器用來(lái)用作A/D轉(zhuǎn)換器,基準(zhǔn)電源構(gòu)成了模擬部分;數(shù)字部分由計(jì)數(shù)器、譯碼器、電流放大器、邏輯控制器、振蕩器和顯示器構(gòu)成。數(shù)字量經(jīng)由轉(zhuǎn)換器將輸入端輸入的模擬量轉(zhuǎn)換而成,與此同時(shí)產(chǎn)生控制信號(hào)并根據(jù)產(chǎn)生的頻率,經(jīng)過(guò)分析處理整合檢測(cè)最終輸出需要顯示的數(shù)字。(3)電壓顯示采用兩位一體的LED數(shù)碼管。(4)LED數(shù)碼的段碼輸入,由并行端口P0產(chǎn)生:位碼輸入,用并行端口P2低兩位產(chǎn)生;小數(shù)點(diǎn)位由P2口產(chǎn)生。 2.3設(shè)計(jì)方案轉(zhuǎn)換電路、A/D轉(zhuǎn)換、單片機(jī)、時(shí)鐘電路、復(fù)位電路、模擬電壓輸入構(gòu)成了硬件設(shè)計(jì)電路 。硬件電路設(shè)計(jì)圖如下圖所示:

14、圖2-1 數(shù)字電壓表總體設(shè)計(jì)方案圖3系統(tǒng)硬件電路的設(shè)計(jì) 3.1單片機(jī)最小系統(tǒng)設(shè)計(jì)3.1.1 AT89C51單片機(jī)的主要特征AT89C51單片機(jī)的外形如圖3-1所示:圖3-1 AT89C51引腳圖P0口引腳:這組引腳共有8個(gè)引腳,P0.0-P0.7這8個(gè)引腳有兩種不同的功能,分別用于通用I/O口和對(duì)片外存儲(chǔ)器的讀/寫數(shù)據(jù),P0口的字節(jié)地址為80H,口的各位口線具有完全相同但又相互獨(dú)立的邏輯電路,有一個(gè)鎖存器兩個(gè)三態(tài)輸入緩沖器一個(gè)多路轉(zhuǎn)接開(kāi)關(guān),實(shí)際控制中,P0口多數(shù)情況下都是作為地址/數(shù)據(jù)線使用。P1口引腳:只可作為普通的I/O口使用,在電路結(jié)構(gòu)上和P0口不同,因?yàn)镻1口只傳送數(shù)據(jù),所以不再需要轉(zhuǎn)

15、換開(kāi)關(guān),P1口是準(zhǔn)雙向口,P1口作為輸出用時(shí),可以獨(dú)立對(duì)外提供推拉電流負(fù)載,外電路就不再需要上拉電阻,作為輸入使用時(shí),必須在鎖存器寫入1,使FET截止。P2口:P2口字節(jié)地址為0A0H,實(shí)際控制中為系統(tǒng)提供高位地址,這個(gè)功能和P0口一樣,在電路中有一個(gè)多路轉(zhuǎn)接開(kāi)關(guān),但是轉(zhuǎn)接開(kāi)關(guān)的一段不再是作為地址數(shù)據(jù)使用,二就是單一的作為地址用而控制功能是它的第二大功能,但是每個(gè)引腳不完全一樣。ALE:地址鎖,使地址鎖存于使能端。訪問(wèn)外部存儲(chǔ)器,如RAM、ROM。有51個(gè)外部總線,16位地址線,數(shù)據(jù)線為8,而低8位的地址跟數(shù)據(jù)線是重合,所以單獨(dú)訪問(wèn)低8位的地址和數(shù)據(jù),這就需要用到ALE信號(hào)。訪問(wèn)存儲(chǔ)器的時(shí)候,

16、P2口輸出高地址,P0輸出低地址。利用外部的鎖存器(設(shè)計(jì)用74HC245存儲(chǔ)器)配合ALE脈沖,鎖存P0地址,這時(shí)讀寫信號(hào)開(kāi)始起作用,P0口讀入或者輸出數(shù)據(jù)。RST:?jiǎn)纹瑱C(jī)復(fù)位電路,當(dāng)單片機(jī)系統(tǒng)在運(yùn)行中受到環(huán)境干擾時(shí),程序運(yùn)行若有錯(cuò)誤,及時(shí)按復(fù)位按鈕,系統(tǒng)電路將自動(dòng)初始化,起重置作用。在設(shè)計(jì)時(shí)單片機(jī)系統(tǒng)如上圖3.1P0口接收由ADC0808送來(lái)的數(shù)據(jù),然后通過(guò)P1口將數(shù)據(jù)送給LED顯示器,通過(guò)顯示器將數(shù)值都顯示出來(lái)。3.1.2 時(shí)鐘電路單片機(jī)中指令執(zhí)行都需要在晶振產(chǎn)生的固有頻率下進(jìn)行,按節(jié)拍有順序的一次進(jìn)行下去,而單片機(jī)時(shí)鐘脈沖是由時(shí)序電路發(fā)出的。單片機(jī)芯片內(nèi)部有一個(gè)串聯(lián)的反相放大器來(lái)增強(qiáng)震蕩

17、器高增益,XTAL1為輸入端,XTAL2為輸出端,用一個(gè)晶振和 2個(gè)30uf的電容構(gòu)成時(shí)鐘電路,如下圖所示:圖3-2 時(shí)鐘電路電路中的器件選擇有三種方法,一是通過(guò)計(jì)算,二是實(shí)驗(yàn)確定,三是參考一些典型電路,電容器C1和C2取值范圍是30±10uF,本文選擇了30uF的電容,這樣會(huì)對(duì)對(duì)震蕩頻率起到調(diào)節(jié)的作用電路產(chǎn)生的時(shí)鐘信號(hào)震蕩頻率由石英晶振來(lái)決定,最高可選24MHz,本系統(tǒng)中選擇6MHz作為時(shí)鐘信號(hào)的震蕩頻率。3.1.3 復(fù)位電路復(fù)位電路是用來(lái)返回到電路設(shè)備初始化狀態(tài)的電路,的上電復(fù)位電路,只要在復(fù)位輸入引腳上接一電容在ACC上端,下端接地就行了。C型單片機(jī),由于在RST端內(nèi)部有一個(gè)下

18、拉電阻,故可拆除外部電阻,外接電容減至10uF。上電復(fù)位的工作過(guò)程:在加電時(shí),復(fù)位電路通過(guò)電容加給RST端一個(gè)短暫的高電平信號(hào),此高電平信號(hào)隨著Vcc對(duì)電容的充電過(guò)程而逐漸回落,RST端的高電平持續(xù)時(shí)間取決于電容的充電時(shí)間。加在RST端的高電平信號(hào)要維持足夠長(zhǎng)的時(shí)間才能保證系統(tǒng)可靠復(fù)位。一般來(lái)說(shuō)復(fù)位方式有上電自動(dòng)復(fù)位和按鈕復(fù)位兩種。圖3-3是AT89c51單片機(jī)的上電復(fù)位和按鈕復(fù)位組合電路。圖3-3 復(fù)位電路3.2 A/D轉(zhuǎn)化模塊 現(xiàn)實(shí)中大多數(shù)都是模擬量,這樣并不能被我們直接采用,所以人們研制了數(shù)字量的器件,它能把模擬的物理量轉(zhuǎn)變成我們能夠利用的數(shù)字量,這是單片機(jī)幾桶收集整理轉(zhuǎn)變數(shù)據(jù)的關(guān)鍵,在

19、電路設(shè)計(jì)中必不可少,經(jīng)過(guò)人們不斷努力改進(jìn),現(xiàn)在的轉(zhuǎn)換器已經(jīng)具備了很強(qiáng)的抗干擾能力,轉(zhuǎn)換精度十分準(zhǔn)確,性能強(qiáng),價(jià)格低廉,種類多,常用的有逐次逼近型,雙重積分型等。逐次逼近式A/D轉(zhuǎn)換的轉(zhuǎn)換速度和精度都比雙積分型更高,ADC0808轉(zhuǎn)換器可以與單片機(jī)連接,把轉(zhuǎn)換得到的數(shù)字量送入單片機(jī),并對(duì)數(shù)字量進(jìn)行分析和顯示。每個(gè)位比較一次,那么一個(gè)n位久需比較n次,這過(guò)程中所消耗的由位數(shù)和單片機(jī)時(shí)鐘周期來(lái)共同決定,因?yàn)楝F(xiàn)實(shí)中更注重效率,而逐次逼近型A/D轉(zhuǎn)換器轉(zhuǎn)換速度快,在現(xiàn)實(shí)的生產(chǎn)生活中被大量采用。3.2.1 A/D轉(zhuǎn)化器的工作原理轉(zhuǎn)換器要想工作,必須先做幾個(gè)步驟如寄存器每一個(gè)都必須歸零,開(kāi)始轉(zhuǎn)換工作時(shí),必須

20、將最高位置高電也就是置1才能把數(shù)據(jù)送入轉(zhuǎn)換器中進(jìn)行轉(zhuǎn)換,轉(zhuǎn)換后的結(jié)果與輸入時(shí)的比較,若經(jīng)轉(zhuǎn)換的模擬量小于輸入的模擬量,則1被保留,如轉(zhuǎn)換的模擬量大于輸入的模擬量,則1不保留,接著第二位第三位第四位并最終到最低位,最終寄存器中所存儲(chǔ)的便是輸入模擬量所對(duì)應(yīng)的二進(jìn)制的數(shù)字量。3.2.2 ADC0808主要特征ADC0808是有使能控制端和微機(jī)直接接口的CMOS單片型逐次逼近式A/D轉(zhuǎn)換器,首先應(yīng)用在智能儀器和機(jī)床控制領(lǐng)域片內(nèi)可以對(duì)模擬電壓信號(hào)對(duì)8路同時(shí)進(jìn)行轉(zhuǎn)換,之所以這么做,是因?yàn)锳DC0808與其他相比有著先天的優(yōu)勢(shì):第一,它是8路8位的轉(zhuǎn)換器;其次,8路模擬開(kāi)關(guān)并具有鎖存控制功能再次可以接各種微

21、控制器口;第三,鎖存三態(tài),與TTL轉(zhuǎn)換電壓低且精度高低功耗等特點(diǎn)。 ADC0808的外部引腳特征:ADC0808有28條引腳,其引腳圖如下所示:圖3-4 ADC0808的引腳圖下面說(shuō)明各個(gè)引腳功能:IN0-IN7(8條):用來(lái)進(jìn)行輸入控制轉(zhuǎn)換模擬電壓。地址控制:ALE為地址輸入鎖存許可線,輸入高電平時(shí)有效,當(dāng)ALE置高電平時(shí),做為地址輸入線使用。START:?jiǎn)?dòng)信號(hào)脈沖線,正脈沖寬度不小于100ns,否則無(wú)法啟動(dòng),EOC: 標(biāo)志著轉(zhuǎn)換結(jié)束的輸出線,電位處于高電平時(shí)則表示A/D轉(zhuǎn)換已經(jīng)結(jié)束,且數(shù)字量自動(dòng)鎖入鎖存器中。D1-D8:數(shù)字量輸出端口,D8位最低位,D1位最高位。OE:輸出允許端,高電平

22、時(shí),把轉(zhuǎn)換后的數(shù)字量通過(guò)D1-D8引腳上輸出。REF+、REF-:輸入電壓參考量,指給電阻階梯網(wǎng)絡(luò)的參考電壓和標(biāo)準(zhǔn)值。Vcc、GND: Vcc一般R與EF+連接在一起為主電源輸入端,地端是GND與REF-連接在一起,這樣便是VCC ,GND的作用。轉(zhuǎn)換器和單片機(jī)的鏈接,如下圖:圖3-5轉(zhuǎn)換器和單片機(jī)的鏈接3.3顯示模塊設(shè)計(jì)3.3.1數(shù)碼管介紹本文的電壓值是選用LED數(shù)碼管來(lái)顯示的。LED數(shù)碼管由8個(gè)發(fā)光二極管組成,其中7個(gè)按“8”字型排列,還有一個(gè)是位于右下角且發(fā)光管的圓點(diǎn)形狀為dp,用來(lái)顯示小數(shù)點(diǎn)。LED有低功耗、亮度強(qiáng)、線路簡(jiǎn)單、壽命長(zhǎng)等優(yōu)點(diǎn),數(shù)碼管的引腳圖如圖3-6所示:圖3-6數(shù)碼管引

23、腳排列發(fā)光二極管有兩種接法,分別是共陽(yáng)極接法和共陰極接法,把8個(gè)點(diǎn)連在一起,高電平在公共端接入的叫共陽(yáng)極,低電平在公共端接入的叫共陰極。數(shù)碼管有靜態(tài)和動(dòng)態(tài)兩種顯示方式。靜態(tài)顯示,就是指一個(gè)I/O端口只能控制一個(gè)數(shù)碼管的段碼數(shù)據(jù)。這樣各個(gè)數(shù)碼管顯示相對(duì)獨(dú)立,每個(gè)數(shù)碼管接收的顯示字符一經(jīng)確定,相應(yīng)I/O口的輸出段碼將保持不變,直到顯示下一個(gè)字符,此特點(diǎn)使得數(shù)碼管的顯示亮度也較高。但是也存在著缺點(diǎn),大量的I/O端口在數(shù)碼管過(guò)多時(shí)將被占用。動(dòng)態(tài)顯示,指逐位地點(diǎn)亮顯示器的各個(gè)位,點(diǎn)亮一次顯示器的亮度與間隔時(shí)間、導(dǎo)通電流和點(diǎn)亮?xí)r間的比例三者相關(guān)。動(dòng)態(tài)顯示的亮度要比靜態(tài)顯示要暗,所以在選擇靜態(tài)顯示電路中的限

24、流電阻應(yīng)該要大于限流電阻時(shí)阻值,防止數(shù)碼管損壞。采用了自動(dòng)顯示8路模擬電壓值動(dòng)態(tài)顯示對(duì)于設(shè)計(jì)的實(shí)現(xiàn)非常容易。3.3.2數(shù)碼管顯示模塊電路數(shù)碼管要求的驅(qū)動(dòng)電流在10mA20mA,為防止數(shù)碼管灌入的電流太大,超出了單片機(jī)允許的電流范圍而導(dǎo)致器件損壞,在P1口輸出段碼顯示處,要加入10K的限流電阻排阻,一共8個(gè)同阻值的電阻,分別對(duì)應(yīng)LED的8個(gè)接口,既保護(hù)數(shù)碼管,又簡(jiǎn)單電路。本設(shè)計(jì)選用軟件譯碼的方式來(lái)簡(jiǎn)化電路和進(jìn)行數(shù)值顯示。顯示電路采用LED數(shù)碼管通過(guò)軟件譯碼動(dòng)態(tài)顯示,通過(guò)單片機(jī)的P1、P3.2、P3.3、P3.5口控制。通過(guò)譯碼器驅(qū)動(dòng)將依次循環(huán)點(diǎn)亮數(shù)碼管,如圖3-7所示:圖3-7 數(shù)碼管電路3.3

25、.3 LED數(shù)碼管與單片機(jī)接口設(shè)計(jì)LED驅(qū)動(dòng)電路設(shè)計(jì)是一個(gè)很重要的問(wèn)題,單片機(jī)的I/O口產(chǎn)生的電流并不能直接驅(qū)動(dòng)LED,驅(qū)動(dòng)電路能力太差,這樣就會(huì)導(dǎo)致顯示器亮度低,這時(shí),只要用驅(qū)動(dòng)電路產(chǎn)生足夠的電流,LED就能正常工作。在LED驅(qū)動(dòng)電路的設(shè)計(jì)過(guò)程中,可以利用上拉電阻解決這種問(wèn)題,就是可以在LED的DP引腳到P0口和7段顯示引腳之間接上上拉電阻,以此來(lái)增強(qiáng)P0口的驅(qū)動(dòng)能力,LED以正常的亮度運(yùn)行。如圖3-8所示。圖3-8 數(shù)碼管與單片機(jī)的鏈接3.4 高阻隔放大電路 采用LM324運(yùn)放構(gòu)成的前級(jí)信號(hào)調(diào)理電路,作為衰減信號(hào)的緩沖,提高輸出阻抗,電壓不變,電流增大,保證輸出穩(wěn)定并減小后級(jí)電路對(duì)信號(hào)的影

26、響,避免沖擊電壓對(duì)運(yùn)放的危害,加一個(gè)電容保護(hù)電路。圖3-9 高阻隔放大電路3.5 總體電路設(shè)計(jì)總結(jié)以上的設(shè)計(jì),利用protues軟件繪制出簡(jiǎn)易的數(shù)字直流電壓表電路原理圖。數(shù)字直流電壓表電路圖的工作原理是:輸入模擬電壓,對(duì)模擬電壓信號(hào)進(jìn)行處理然后再由ADC0808的IN0通道進(jìn)入,這樣經(jīng)過(guò)轉(zhuǎn)換后的數(shù)字量經(jīng)過(guò)D0-D7輸出通道再傳送給單片機(jī)芯片的P1口,接收到的數(shù)字量可以通過(guò)AT89C51進(jìn)行數(shù)據(jù)處理,通過(guò)P0口輸出給譯碼器,從而7段數(shù)碼管的顯示段碼再傳送給74HC245譯碼然后去驅(qū)動(dòng)LED,還控制P2.0、P2.1、P2.2的段選以及小數(shù)點(diǎn)。此外,AT89C51還控制ADC0808的工作,單片機(jī)

27、AT89C51通過(guò)從ALE引腳輸出方波,接到ADC0808的CLOCK,P3.0發(fā)正脈沖啟動(dòng)A/D轉(zhuǎn)換,A/D轉(zhuǎn)換完成產(chǎn)生中斷,單片機(jī)進(jìn)入中斷程序,從P1口讀取轉(zhuǎn)換的P3.1置高電位,然后送給LED顯示。總體電路設(shè)計(jì)完畢,利用Proteus制出硬件的原理結(jié)構(gòu)圖,并作相應(yīng)的檢查、修改、及測(cè)試,直至完成完善的硬件原理結(jié)構(gòu)圖。本設(shè)計(jì)目的是能對(duì)電壓進(jìn)行測(cè)量,顯示的功能和其他相應(yīng)的軟件配合。如圖3-10所示:圖3-10 系統(tǒng)電路圖4 程序設(shè)計(jì)4.1主程序流程圖主程序流程分成3個(gè)模塊,初始化模塊,顯示A/D轉(zhuǎn)換子程序模塊和子程序模塊構(gòu)成的。第一步,開(kāi)始,系統(tǒng)自動(dòng)回到初始化狀態(tài),此時(shí),A/D轉(zhuǎn)化子程序啟動(dòng),

28、將輸入的模擬信號(hào)轉(zhuǎn)化為數(shù)字信號(hào),測(cè)量限值比較,若輸入的模擬電壓大于電壓表的測(cè)量范圍,則系統(tǒng)蜂鳴器報(bào)警且輸出電壓表測(cè)量電壓最大值,若輸入電壓小于測(cè)量范圍,則系統(tǒng)正常運(yùn)行且輸出準(zhǔn)確電壓,接著顯示子程序啟動(dòng),數(shù)字信號(hào)通過(guò)LED顯示板顯示數(shù)值,流程結(jié)束。如下圖所示:圖4-1 數(shù)字電壓表主程序框圖啟動(dòng)電源前,系統(tǒng)自動(dòng)復(fù)位,蜂鳴器關(guān)閉,LED顯示關(guān)閉,定時(shí)器初始化。啟動(dòng)電源,輸入被測(cè)模擬電壓值,經(jīng)過(guò)A/D轉(zhuǎn)換程序,譯碼器獲得電壓數(shù)值,通過(guò)LED顯示器顯示,此時(shí)判斷被測(cè)電壓是否超出測(cè)量范圍,若超出,蜂鳴器報(bào)警,輸出測(cè)量范圍最大值;若正常,則輸出準(zhǔn)確電壓。另外,還可設(shè)置系統(tǒng)電壓的測(cè)量范圍,通過(guò)限制設(shè)置一欄設(shè)定

29、想要的測(cè)量范圍。主程序核心代碼:/主程序void main()int value; /電壓數(shù)據(jù)unsigned int delay_count=0; /延時(shí)計(jì)數(shù)BUZ=0; /上電先關(guān)閉蜂鳴器LED=1; /關(guān)LEDTimerInit(); /定時(shí)器初始化while(1)delay_count+; /延時(shí)計(jì)數(shù)+1if(delay_count>5000)delay_count=0; /延時(shí)計(jì)數(shù)清0value=GetValue(); /獲取電壓ValueDis(value);/顯示電壓if(value<=valueMAX) /電壓正常BUZ=0; /關(guān)蜂鳴器LED=1; /關(guān)LEDb_

30、over=0; /超限標(biāo)志else /電壓超限b_over=1; /超限標(biāo)志if(KEY1 = 0)/按鍵1-設(shè)定限值while(!KEY1); /等待按鍵松開(kāi)SetFun(); /設(shè)定限值4.2 A/D轉(zhuǎn)換子程序流程圖模擬輸入電壓經(jīng)過(guò)ADC0808轉(zhuǎn)換成數(shù)值,再經(jīng)過(guò)A/D轉(zhuǎn)換子程序移入相應(yīng)的存儲(chǔ)單元中,輸入電壓與A/D轉(zhuǎn)化結(jié)果對(duì)比,正確則輸出轉(zhuǎn)換結(jié)果,錯(cuò)誤則重新對(duì)比,將正確的輸出結(jié)果進(jìn)行數(shù)值轉(zhuǎn)換,以數(shù)值形式顯示結(jié)果,顯示階段出現(xiàn)問(wèn)題則重新回到啟動(dòng)轉(zhuǎn)化階段重復(fù)循環(huán),顯示正確數(shù)值后A/D轉(zhuǎn)換子程序結(jié)束。流程如圖4-2所示,轉(zhuǎn)換子程序經(jīng)過(guò)一段時(shí)間調(diào)用一次。圖4-2 A/D轉(zhuǎn)化流程圖開(kāi)始后,鎖存通道

31、地址,復(fù)位電路自動(dòng)復(fù)位,延時(shí)3毫秒,下降沿啟動(dòng)轉(zhuǎn)換,延時(shí),設(shè)置ADOE=1時(shí),引腳置為讀,當(dāng)輸入ADOE=1,等待轉(zhuǎn)換,通過(guò)ADC0808允許數(shù)據(jù)輸出,譯碼器讀取AD數(shù)據(jù),反之,當(dāng)輸入ADOE=0時(shí),禁止數(shù)據(jù)輸出,且返回AD數(shù)據(jù)。A/D轉(zhuǎn)換程序代碼:/讀ADC數(shù)據(jù)u8 ReadData()u8 adda;ADALE=1;ADALE=0; /鎖存通道地址 ADSTART=1; /上升沿復(fù)位Delayms(3); /延時(shí)幾毫秒ADSTART=0; /下降沿啟動(dòng)轉(zhuǎn)換Delayms(3); /延時(shí)幾毫秒ADEOC=1; /引腳置為讀while(!ADEOC); /等待轉(zhuǎn)換結(jié)束ADOE=1; /數(shù)據(jù)輸出

32、允許adda=ADCPORT; /讀取AD數(shù)據(jù)ADOE=0; /數(shù)據(jù)輸出禁止return adda; /返回AD數(shù)據(jù)4.3顯示子程序顯示子程序采用動(dòng)態(tài)顯示實(shí)現(xiàn)數(shù)碼管的數(shù)值顯示,在采用動(dòng)態(tài)掃描顯示方式時(shí),要使得LED顯示的均勻穩(wěn)定,還要有足夠的亮度,需要設(shè)置適當(dāng)?shù)膾呙桀l率,設(shè)置掃描頻率在70HZ左右時(shí),能夠產(chǎn)生比較好的顯示效果。在protues仿真中輸入的電壓比較穩(wěn)定,所以在顯示中看不到動(dòng)態(tài)的效果。4.4編程軟件keil介紹Keil是一款非常強(qiáng)大的軟件,它的庫(kù)函數(shù)非常豐富,在Windows系統(tǒng)中功能強(qiáng)大,Keil 51生成的效率高而且語(yǔ)句簡(jiǎn)單易懂,所以Keil被廣泛應(yīng)用于開(kāi)發(fā)編程軟件。開(kāi)發(fā)人員發(fā)

33、現(xiàn)C語(yǔ)言在功能強(qiáng)大,結(jié)構(gòu)性、可讀性、可維護(hù)性上都有明顯的優(yōu)勢(shì),移植性好,現(xiàn)在多數(shù)技術(shù)人員需要熟練掌握好C語(yǔ)言的編程,這對(duì)學(xué)習(xí)單片機(jī)有更多的好處。5 仿真與調(diào)試5.1 protues仿真的介紹Proteus是一款EDA工具軟件,它可以對(duì)電路系統(tǒng)進(jìn)行仿真,也可以對(duì)單片機(jī)及其他器件仿真,國(guó)內(nèi)外普遍使用protues進(jìn)行仿真,此軟件的功能在非常完善,仿真的效果與實(shí)物的測(cè)試,兩者誤差非常小,很實(shí)用的軟件。智能原理圖設(shè)計(jì)中,電路元件種類齊全,電路仿真功能完善,支持多數(shù)CPU類型、支持外設(shè)、實(shí)時(shí)仿真、編譯及調(diào)試、實(shí)用的PCB設(shè)計(jì)平臺(tái),這些都是Proteus主要功能。Proteus功能特點(diǎn):1PCB自動(dòng)或人工

34、布線2原理布圖3SPICE電路仿真特點(diǎn)是:1.互動(dòng)的電路仿真2.仿真處理器及其外圍電路5.2 protues仿真電路圖圖5-1 仿真圖5.3仿真結(jié)果左鍵雙擊單片機(jī)AT89C51,彈出如圖5-2所示一個(gè)菜單,點(diǎn)擊program file找到程序所在的位置。載入程序。就可以進(jìn)行模擬測(cè)試了。圖5-2 載入程序示意圖載入程序完成后,就可以開(kāi)始仿真了,點(diǎn)擊軟件中的運(yùn)行按鈕,單片機(jī)中的程序開(kāi)始運(yùn)行。通過(guò)改變被測(cè)的模擬電壓來(lái)顯示實(shí)際環(huán)境下電壓的改變,當(dāng)數(shù)碼管所顯示的數(shù)值和輸入被測(cè)電壓的數(shù)值接近時(shí),說(shuō)明系統(tǒng)設(shè)計(jì)正確。系統(tǒng)電壓輸入到被測(cè)電壓顯示存在一定的誤差,該誤差可能是分壓系數(shù)的引起、電磁干擾引起、放大器的非

35、理想特性引起等等。當(dāng)IN0口輸入電壓為0時(shí),數(shù)碼管顯示0.0。顯示結(jié)果如圖5-3:圖5-3 仿真效果圖當(dāng)INT0輸入為5.05V時(shí),對(duì)應(yīng)的顯示結(jié)果如圖5-4所示:圖6-4 仿真效果圖當(dāng)INT0口輸入為9.85V時(shí),與輸出結(jié)果稍微有點(diǎn)誤差,對(duì)應(yīng)的輸出結(jié)果如圖5-5所示:圖5-5 仿真效果圖當(dāng)輸入電壓10.5V時(shí),被測(cè)電壓超過(guò)數(shù)字電壓表測(cè)量范圍,報(bào)警器鳴笛報(bào)警。顯示最高測(cè)量電壓為10V。圖5-6 仿真效果圖仿真成功???結(jié)本設(shè)計(jì)是我第一次設(shè)計(jì)的電路,利用Proteus的仿真與實(shí)現(xiàn)。在這個(gè)過(guò)程中,我學(xué)到了許多課外的知識(shí),提高了自己思考和設(shè)計(jì)的能力,對(duì)使用單片機(jī)有新的體會(huì)。通過(guò)本設(shè)計(jì)利用Proteus

36、和Keil軟件,我也學(xué)到了很多知識(shí),在硬件設(shè)計(jì)、系統(tǒng)程序編程、功能模塊劃分、設(shè)計(jì)原理圖和電路仿真設(shè)計(jì)的過(guò)程中,積累了很多經(jīng)驗(yàn)?;趩纹瑱C(jī)的數(shù)字電壓表功能強(qiáng)大,它結(jié)構(gòu)簡(jiǎn)單,電路原理易懂,成本低,用到外部元件少,能夠在實(shí)踐中很好地工作,測(cè)量電壓精度高。該系統(tǒng)的功能,得到了理想的效果,在系統(tǒng)硬件設(shè)計(jì)有可擴(kuò)展性,增加了限值電壓測(cè)量范圍,過(guò)壓報(bào)警等功能。本設(shè)計(jì)實(shí)現(xiàn)了對(duì)簡(jiǎn)易數(shù)字電壓表的測(cè)量電壓的功能,詳細(xì)描述了電路原理,設(shè)計(jì)與仿真,繪畫出電路原理圖及軟件調(diào)試。通過(guò)本次設(shè)計(jì),加深了我對(duì)單片機(jī)的認(rèn)知,體積雖小,但有著強(qiáng)大功能。本設(shè)計(jì)采用AT89C51單片機(jī),功能更完善,應(yīng)用領(lǐng)域更廣泛。該設(shè)計(jì)也可用于模數(shù)轉(zhuǎn)換芯

37、片ADC0808,在單一的課程對(duì)知識(shí)的理論有一個(gè)初步的了解。通過(guò)本設(shè)計(jì),對(duì)數(shù)字電壓表的工作原理,有一個(gè)更深的了解。該電路的設(shè)計(jì)和仿真,基本滿足設(shè)計(jì)要求。以后的實(shí)踐過(guò)程中,我仍會(huì)認(rèn)真學(xué)習(xí)電路設(shè)計(jì)的理論知識(shí),理論聯(lián)系實(shí)際,爭(zhēng)取在電路設(shè)計(jì)的取得更多的進(jìn)步。參考文獻(xiàn)1謝自美.電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試(第二版)M,.武漢:華中科技大學(xué)出版社,2000.2張文祥.單片機(jī)系統(tǒng)設(shè)計(jì)與開(kāi)發(fā)教程M.北京:電子工業(yè)出版社,2011.3劉宏.Protel電路設(shè)計(jì)實(shí)用指南M.西安:西安電子科技大學(xué)出版,2004.4陳明熒.8051單片機(jī)課程設(shè)計(jì)實(shí)訓(xùn)教材M.北京:清華大學(xué)出版社.5孟祥蓮.單片機(jī)原理及應(yīng)用:基于Proteus

38、與KeilCM.北京:哈爾濱工業(yè)大學(xué)出版社,2010.6周潤(rùn)景.PROTEUS入門實(shí)用教程M.北京:機(jī)械工業(yè)出版社,2006.附 錄1. 電路原理圖。2. 程序代碼。#include <reg52.h>#define u8 unsigned char#define u16 unsigned int#define uint unsigned int#define uchar unsigned char /宏定義sbit KEY1 = P30; /按鍵1sbit KEY2 = P31;/按鍵2sbit KEY3 = P32;/按鍵3sbit LED = P26; /LEDsbit BU

39、Z = P27;/蜂鳴器#define SEGSELECT P2 /數(shù)碼管位選#define SEGDATA P0 /數(shù)碼管數(shù)據(jù)端口sbit ADALE =P34; /ADC0808地址鎖存允許信號(hào)(高有效)sbit ADOE =P37; /ADC0808數(shù)據(jù)輸出允許信號(hào)(高有效)sbit ADEOC =P36; /ADC0808轉(zhuǎn)換結(jié)束信號(hào)(輸出高)sbit ADSTART=P35; /ADC0808轉(zhuǎn)換啟動(dòng)脈沖(至少100ns,上升沿復(fù)位,下降沿啟動(dòng)轉(zhuǎn)換)#define ADCPORT P1 /ADC0808數(shù)據(jù)端口char code segcode22=0xc0,0xf9,0xa4,0x

40、b0,0x99,0x92,0x82,0xf8,0x80,0x90,/無(wú)點(diǎn)(共陽(yáng)) 0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10,/有點(diǎn)(共陽(yáng)) 0xff,0xbf; /不顯示,-(共陽(yáng))char disbuf4;/顯示緩存char seg=0;/顯示位指示int valueMAX=900; /默認(rèn)電壓上限9V,超過(guò)9V會(huì)報(bào)警bit b_over=0; /超限標(biāo)志/延時(shí)(單位:ms)void Delayms(int d)int i,j;for(i=0;i<d;i+)for(j=0;j<100;j+);/定時(shí)器初始化void Tim

41、erInit()TMOD=0x11;IP =0x02; /中斷優(yōu)先級(jí)設(shè)置(該句允許T0中斷嵌套進(jìn)T1中斷)TH0=0xaa;TL0=0xaa;TH1=0x00;TL1=0x00;ET0=1;ET1=1;EA=1;TR0=1;TR1=1;/讀ADC數(shù)據(jù)u8 ReadData()u8 adda;ADALE=1;ADALE=0; /鎖存通道地址 ADSTART=1; /上升沿復(fù)位Delayms(3); /延時(shí)幾毫秒ADSTART=0; /下降沿啟動(dòng)轉(zhuǎn)換Delayms(3); /延時(shí)幾毫秒ADEOC=1; /引腳置為讀while(!ADEOC); /等待轉(zhuǎn)換結(jié)束ADOE=1; /數(shù)據(jù)輸出允許adda=

42、ADCPORT; /讀取AD數(shù)據(jù)ADOE=0; /數(shù)據(jù)輸出禁止return adda; /返回AD數(shù)據(jù)/定時(shí)器0中斷處理,負(fù)責(zé)顯示void Timer0ISR() interrupt 1TR0=0;SEGSELECT=seg|(SEGSELECT&0xfc);SEGDATA=segcodedisbufseg;seg+;if(seg>3) seg=0;TH0=0xee;TL0=0xee;TR0=1;/定時(shí)器1中斷處理void Timer1ISR() interrupt 3static char n=0; /中斷計(jì)數(shù)TR1=0;n+;if(n=10)n=0;if(b_over) /超

43、限BUZ=!BUZ;LED=!LED;TH1=0x00;TL1=0x00;TR1=1;/顯示電壓void ValueDis(int dat)if(dat<1000)disbuf0=20; /不顯示disbuf1=dat/100+10; /百位disbuf2=dat%100/10; /十位disbuf3=dat%10; /個(gè)位elsedisbuf0=dat/1000; /千位disbuf1=dat%1000/100+10; /百位disbuf2=dat%100/10; /十位disbuf3=dat%10; /個(gè)位/設(shè)定限值void SetFun()ValueDis(valueMAX); /

44、顯示上限while(1)if(KEY1 = 0) /按鍵2-退出設(shè)定while(!KEY1); /等待按鍵松開(kāi)break; /退出else if(KEY2 = 0) /按鍵3-減if(valueMAX>10) valueMAX-=10; /減1ValueDis(valueMAX); /顯示上限while(!KEY2); /等待按鍵松開(kāi)else if(KEY3 = 0) /按鍵4-加if(valueMAX<1000) valueMAX+=10; /加1ValueDis(valueMAX); /顯示上限while(!KEY3); /等待按鍵松開(kāi)/獲取電壓int GetValue()u8

45、 addata;float tem;addata=ReadData(); /讀取AD轉(zhuǎn)換tem=(float)addata;tem=tem*1.9608*2.0;return (int)tem;/主程序void main()int value; /電壓數(shù)據(jù)unsigned int delay_count=0; /延時(shí)計(jì)數(shù)BUZ=0; /上電先關(guān)閉蜂鳴器LED=1; /關(guān)LEDTimerInit(); /定時(shí)器初始化while(1)delay_count+; /延時(shí)計(jì)數(shù)+1if(delay_count>5000)delay_count=0; /延時(shí)計(jì)數(shù)清0value=GetValue(); /獲取電壓ValueDis(value);/顯示電壓if(value<=valueMAX) /電壓正常BUZ=0; /關(guān)蜂鳴器LED=1; /關(guān)LEDb_over=0; /超限標(biāo)志else /電壓超限b_over=1; /超限標(biāo)志if(KEY1 = 0)/按鍵1-設(shè)定限值while(!KEY1); /等待按鍵松開(kāi)SetFun(); /設(shè)定限值

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!