電梯控制器實驗報告

上傳人:sa****i 文檔編號:46607868 上傳時間:2021-12-14 格式:DOC 頁數(shù):17 大?。?43.50KB
收藏 版權申訴 舉報 下載
電梯控制器實驗報告_第1頁
第1頁 / 共17頁
電梯控制器實驗報告_第2頁
第2頁 / 共17頁
電梯控制器實驗報告_第3頁
第3頁 / 共17頁

下載文檔到電腦,查找使用更方便

38 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《電梯控制器實驗報告》由會員分享,可在線閱讀,更多相關《電梯控制器實驗報告(17頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、.電子技術實驗報告電梯控制器的設計與分析班級:姓名:學號:日期:2016年 6月 25日聯(lián)系電話:1/15.一實驗目的電子技術專題實驗是對“數(shù)字邏輯”課程內容的全面、系統(tǒng)的總結、鞏固和提高的一項課程實踐活動。根據(jù)數(shù)字邏輯的特點,選擇相應的題目,在老師的指導下,由學生獨立完成。目的是通過實驗使學生掌握數(shù)字邏輯電路設計的基本方法和技巧,正確運用 Quartus軟件及實驗室多功能學習機硬件平臺, 完成所選題目的設計任務,并掌握數(shù)字邏輯電路測試的基本方法,訓練學生的動手能力和思維方法。通過實驗,一方面提高運用數(shù)字邏輯電路解決實際問題的能力,另一方面使學生更深入的理解所學知識,為以后的計算機硬件課程的學

2、習奠定良好的基礎。二項目設計概要1. 設計實現(xiàn)的目標隨著社會的發(fā)展, 電梯的使用越來越普遍, 已從原來只在商業(yè)大廈、 賓館使用,過渡到在辦公樓、居民樓等場所使用,并且對電梯功能的要求也不斷提高,相應地其控制方式也在不停地發(fā)生變化。對于電梯的控制,傳統(tǒng)的方法是使用繼電器 接觸器控制系統(tǒng)進行控制,隨著技術的不斷發(fā)展,微型計算機在電梯控制上的應用日益廣泛,現(xiàn)在已進入全微機化控制的時代。2. 整體設計概述電梯的微機化控制主要有以下幾種形式:PLC 控制;單板機控制;單片機控制; 單微機控制; 多微機控制; 人工智能控制。 隨著 EDA 技術的快速發(fā)展, CPLD/FPGA已廣泛應用于電子設計與控制的各

3、個方面。本設計就是使用一片 CPLD/FPGA 來實現(xiàn)對電梯的控制的3. 項目設計特點我們在項目設計過程中采用模塊化設計思想, 事先制定了模塊間的接口方案,使得整個系統(tǒng)的組合變得十分靈活。由于我們在設計時為電子鐘和秒表模塊中都加入了顯示電路,總控模塊可分別與之連接組成一個分系統(tǒng),便于調試。在最終2/15.整合時,我們也只需要將兩個模塊中的顯示電路合二為一即可。三系統(tǒng)設計方案根據(jù)系統(tǒng)設計要求,并考慮到系統(tǒng)的可驗證性,整個系統(tǒng)的輸入輸出接口設計如圖1 所示:系統(tǒng)工作用2 Hz 基準時鐘信號CLKIN ,樓層上升請求鍵UPIN ,樓層下降請求鍵DOWNIN ,樓層選擇鍵入鍵ST_CH ,提前關門輸入

4、鍵CLOSE ,延遲關門輸入鍵DELAY ,電梯運行的開關鍵RUN_STOP ,電梯運行或停止指示鍵LAMP ,電梯運行或等待時間指示鍵RUN_WAIT ,電梯所在樓層指示數(shù)碼管ST_OUT ,樓層選擇指示數(shù)碼管DIRECT 。各輸入端口的功能如下:CLKIN: 基準時鐘信號,為系統(tǒng)提供2Hz 的時鐘脈沖,上升沿有效;UPIN: 電梯上升請求鍵。由用戶向電梯控制器發(fā)出上升請求。高電平有效;DOWNIN: 電梯下降請求鍵,由用戶向電梯控制器發(fā)出下降請求。高電平有效;ST_CH2.0: 樓層選擇鍵入鍵,結合DIRECT 完成樓層選擇的鍵入,高電平有效;CLOSE: 提前關門輸入鍵??蓪崿F(xiàn)無等待時間

5、的提前關門操作,高電平有效;DELAY:延遲關門輸入鍵??蓪崿F(xiàn)有等待時間的延遲關門操作,高電平有效;RUN_STOP: 電梯運行或停止開關鍵??蓪崿F(xiàn)由管理員控制電梯的運行或停止,高電平有效。一下為輸出端口:LAMP: 電梯運行或等待指示鍵,指示電梯的運行或等待狀況。高電平有效;RUN_WAIT: 電梯運行或等待時間指示鍵,指示電梯運行狀況或等待時間的長短,高電平有效;ST_OUT: 電梯所在樓層指示數(shù)碼管,只是電梯當前所在的樓層數(shù)。即15 層,高電平有效;DIRECT: 樓層選擇指示數(shù)碼管,指示用戶所要選擇的樓層數(shù),高電平有效。3/15.1. 系統(tǒng)功能模塊設計示意圖2.項目分塊及其實現(xiàn)方案電梯

6、的控制狀態(tài)包括運行狀態(tài)、停止狀態(tài)及等待狀態(tài),其中運行狀態(tài)又包含向上狀態(tài)和向下狀態(tài)。主要動作有開、關門,??亢蛦印3丝涂赏ㄟ^鍵入開、關門按鈕,呼喚按鈕,指定樓層按鈕等來控制電梯的行與停。據(jù)此,整個電梯控制器 DTKZQ 應包括如下幾個組成部分: 時序輸出及樓選計數(shù)器; 電梯服務請求處理器; 電梯升降控制器; 上升及下降寄存器; 電梯次態(tài)生成器。該電梯控制器設計的關鍵是確定上升及下降寄存器的置位與復位。整個系統(tǒng)的內部組成結構圖如圖 2 所示。4/15.Vhdl 程序:-DTKZQ.VHD-顯示電路LIBRARY IEEE;ENTITY led ISPORT(LEDIN: IN STD_LOGIC

7、_VECTOR(2 DOWNTO 0);-輸入信號LEDOUT: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-輸出信號END LED;ARCHITECTURE ART OF LED IS-結構體BEGINPROCESS(LEDIN)BEGINCASE LEDIN IS-共陰極 LED 顯示譯碼g f e d c b aWHEN"0000"=>ledout<="0111111"-0WHEN"0001"=>ledout<="0000110"-1WHEN"0010

8、"=>ledout<="1011011"-2WHEN"0011"=>ledout<="1001111"-3WHEN"0100"=>ledout<="1100110"-4WHEN"0101"=>ledout<="1101101"-5WHEN"0110"=>ledout<="1111101"-6WHEN"0111"=>ledo

9、ut<="0000111"-7WHEN"1000"=>ledout<="1111111"-8WHEN"1001"=>ledout<="1101111"-9WHEN"1010"=>ledout<="1110111"-10WHEN"1011"=>ledout<="1111100"-11WHEN"1100"=>ledout<="

10、0111001"-12WHEN"1101"=>ledout<="1011110"-13WHEN"1110"=>ledout<="1111001"-14WHEN"1111"=>ledout<="1110001"-15WHEN OTHERS=>ledout<="0000000"-其他情況時燈滅END CASE;END PROCESS;END ART;LIBRARY IEEE;ENTITY DTKZQ I

11、SPORT(CLK:IN STD_LOGIC;-2 Hz 時鐘輸入信號5/15.UPIN:IN STD_LOGIC;-樓層上升請求鍵DOWNIN:IN STD_LOGIC;-樓層下降請求鍵ST_CH:IN STD_LOGIC;-結合 DIRECT 完成樓層選擇的鍵入CLOSE:IN STD_LOGIC;-提前關門輸入鍵DELAY:IN STD_LOGIC;-延遲關門輸入鍵RUN_STOP:IN STD_LOGIC;-電梯運行的開關鍵LAMP:OUT STD_LOGIC;-電梯運行或停止指示鍵RUN_WAIT:OUTSTD_LOGIC_VECTOR(3DOWNTO0);-結合LAMP 指示電梯運

12、行或等待時間ST_OUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);- 電梯所在樓層指示數(shù)碼管DIRECT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);- 樓層選擇指示數(shù)碼管END ENTITY DTKZQ;ARCHITECTURE ART OF DTKZQ ISSIGNAL UR,DR:STD_LOGIC_VECTOR(16 DOWNTO 1);- 上升或下降樓層請求寄存器SIGNAL DIR,LIFTOR: INTEGER RANGE 0 TO 15;-樓選指示及樓層數(shù)計數(shù)器SIGNAL WAI_T:STD_LOGIC_VECTOR(2 DOW

13、NTO 0);-運行或等待計數(shù)器SIGNAL DIVID,HAND,CLKIN:STD_LOGIC;- 時鐘 2 分頻和樓選復位變量SIGNAL LADD:STD_LOGIC_VECTOR(1 DOWNTO 0);-電梯運行狀態(tài)變量SIGNAL CLOSEX,DELAYX:STD_LOGIC;-提前關門及延遲變量BEGIN-內部信號值的輸出DIRECT<=CONV_STD_LOGIC_VECTOR(DIR,4)+1;ST_OUT<=CONV_STD_LOGIC_VECTOR(LIFTOR,4)+1;RUN_WAIT<='0'&WAI_T;LAMP<

14、;=LADD(1);HAND<=WAI_T(2)AND(NOT WAI_T(1)AND WAI_T(0);CLOSEX<=CLOSE AND(NOT LADD(1);DELAYX<=DELAY AND(NOT LADD(1);-分頻進程P0:PROCESS(CLK)BEGINIF (CLK'EVENT AND CLK='1') THENCLKIN<=NOT CLKIN;END IF;END PROCESS P0;-分頻及樓選信號產(chǎn)生進程P1:PROCESS(CLKIN)BEGINIF (CLKIN'EVENT AND CLKIN='

15、;1') THENDIVID<=NOT DIVID;IF (DIR=4) THEN DIR<=0;ELSE DIR<=DIR+1;6/15.END IF;END IF;END PROCESS P1;-樓層請求寄存器的置位與復位進程P2:PROCESS(UR, DR,DIR,UPIN,DOWNIN,ST_CH,LIFTOR,WAI_T,RUN_STOP, HAND)VARIABLE NUM,T:INTEGER RANGE 0 TO 16 ;BEGINNUM:=LIFTOR+1;T:=DIR+1;IF (RUN_STOP='1') THEN-電梯運行時選擇

16、樓層大于當前樓層或者有上升請求IF (T>NUM)AND (ST_CH='1')OR (UPIN='1')THENCASE T ISWHEN 1=> UR(1)<='1'WHEN 2=> UR(2)<='1'WHEN 3=> UR(3)<='1'WHEN 4=> UR(4)<='1'WHEN 5=> UR(5)<='1'WHEN 6=> UR(6)<='1'WHEN 7=> UR(7)

17、<='1'WHEN 8=> UR(8)<='1'WHEN 9=> UR(9)<='1'WHEN 10 => UR(10)<='1'WHEN 11 => UR(11)<='1'WHEN 12 => UR(12)<='1'WHEN 13 => UR(13)<='1'WHEN 14 => UR(14)<='1'WHEN 15 => UR(15)<='1'WH

18、EN 16 => UR(16)<='1'WHEN OTHERS=>NULL;END CASE;-電梯運行時間到ELSIF (HAND='1')THENCASE NUM ISWHEN 1=> UR(1)<='0'WHEN 2=> UR(2)<='0'WHEN 3=> UR(3)<='0'WHEN 4=> UR(4)<='0'WHEN 5=> UR(5)<='0'WHEN 6=> UR(6)<=&#

19、39;0'WHEN 7=> UR(7)<='0'WHEN 8=> UR(8)<='0'WHEN 9=> UR(9)<='0'7/15.WHEN 10 => UR(10)<='0'WHEN 11 => UR(11)<='0'WHEN 12 => UR(12)<='0'WHEN 13 => UR(13)<='0'WHEN 14 => UR(14)<='0'WHEN 15

20、 => UR(15)<='0'WHEN 16 => UR(16)<='0'WHEN OTHERS=>NULL;END CASE;END IF;-選擇樓層小于當前樓層或者有下降請求IF (T<NUM)AND (ST_CH='1')OR(DOWNIN='1') THEN CASE T ISWHEN 1=> DR(1)<='1'WHEN 2=> DR(2)<='1'WHEN 3=> DR(3)<='1'WHEN 4=&

21、gt; DR(4)<='1'WHEN 5=> DR(5)<='1'WHEN 6=> DR(6)<='1'WHEN 7=> DR(7)<='1'WHEN 8=> DR(8)<='1'WHEN 9=> DR(9)<='1'WHEN 10 => DR(10)<='1'WHEN 11 => DR(11)<='1'WHEN 12 => DR(12)<='1'WH

22、EN 13 => DR(13)<='1'WHEN 14 => DR(14)<='1'WHEN 15 => DR(15)<='1'WHEN 16 => DR(16)<='1'WHEN OTHERS=>NULL;END CASE;-電梯運行時間到ELSIF (HAND='1') THENCASE NUM ISWHEN 1=> DR(1)<='0'WHEN 2=> DR(2)<='0'WHEN 3=> DR

23、(3)<='0'WHEN 4=> DR(4)<='0'WHEN 5=> DR(5)<='0'WHEN 6=> DR(6)<='0'WHEN 7=> DR(7)<='0'WHEN 8=> DR(8)<='0'WHEN 9=> DR(9)<='0'8/15.WHEN 10 => DR(10)<='0'WHEN 11 => DR(11)<='0'WHEN 1

24、2 => DR(12)<='0'WHEN 13 => DR(13)<='0'WHEN 14 => DR(14)<='0'WHEN 15 => DR(15)<='0'WHEN 16 => DR(16)<='0'WHEN OTHERS=>NULL;END CASE;END IF;ELSEUR<="0000000000000000"DR<="0000000000000000"END IF;END PROC

25、ESS P2;-電梯運行次態(tài)的控制進程P3:PROCESS(UR,DR,DIR,LIFTOR,LADD,WAI_T,RUN_STOP)BEGINIF (RUN_STOP='1') THEN-電梯運行時IF (WAI_T="110") THENIF (UR OR DR)="0000000000000000") THENLADD(1)<='0'-初始狀態(tài)ELSECASE LIFTOR IS-電梯在第一層WHEN 0=>IF (UR(1)OR DR(1)>'0') THEN LADD(1)<

26、;='0'-等待狀態(tài)ELSE LADD<="11"-上升狀態(tài)END IF;-電梯在第二層WHEN 1=>IF (UR(2)OR DR(2)>'0') THENLADD(1)<='0' -等待狀態(tài)ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 3)OR DR(16 DOWNTO 3)>"00000000000000")OR(UR(1)OR DR(1)='0') THENLADD <="11"-上

27、升狀態(tài)ELSE LADD<="10"-下降狀態(tài)END IF;-電梯在第三層WHEN 2=>IF (UR(3) OR DR(3)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 4)ORDR(16DOWNTO4)>"0000000000000")9/15.OR(UR(2 DOWNTO 1) OR DR(2 DOWNTO 1)="00") THEN LADD<="11&qu

28、ot;ELSE LADD<="10"END IF;-電梯在第四層WHEN 3=>IF (UR(4) OR DR(4)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 5)OR DR(16 DOWNTO 5)>"000000000000")OR(UR(3 DOWNTO 1)ORDR(3DOWNTO1)="000")THENLADD<="11"ELSE LADD&l

29、t;="10"END IF;-電梯在第五層WHEN 4=>IF (UR(5) OR DR(5)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 6)OR DR(16 DOWNTO 6)>"00000000000")OR(UR(4 DOWNTO 1)ORDR(4DOWNTO1)="0000")THENLADD<="11"ELSE LADD<="10&qu

30、ot;END IF;-電梯在第六層WHEN 5=>IF (UR(6) OR DR(6)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 7)OR DR(16 DOWNTO 7)>"0000000000" )OR(UR(5 DOWNTO 1)ORDR(5DOWNTO1)="00000")THENLADD<="11"ELSE LADD<="10"END IF;-電梯

31、在第七層WHEN 6=>IF (UR(7) OR DR(7)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 8)OR DR(16 DOWNTO 8)>"000000000")OR(UR(6 DOWNTO 1)OR DR(6 DOWNTO 1)="000000") THENLADD<="11"ELSE LADD<="10"END IF;-電梯在第八層WHEN 7

32、=>IF (UR(8) OR DR(8)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 9)OR DR(16 DOWNTO 9)>"00000000" )10/15.OR(UR(7 DOWNTO 1)OR DR(7 DOWNTO 1)="0000000") THENLADD<="11"ELSE LADD<="10"END IF;-電梯在第九層WHEN 8=&g

33、t;IF (UR(9) OR DR(9)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 10)OR DR(16 DOWNTO 10)>"0000000" )OR(UR(8 DOWNTO 1)ORDR(8DOWNTO1)="00000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十層WHEN 9=>IF (UR(

34、10) OR DR(10)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 11)OR DR(16 DOWNTO 11)>"000000" )OR(UR(9 DOWNTO 1)ORDR(9DOWNTO1)="000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十一層WHEN 10=>IF (UR(11) O

35、R DR(11)>'0') THEN LADD(1)<='0' ELSIF (LADD(0)='1')AND (UR(16 DOWNTO 12)OR DR(16 DOWNTO 12) >"00000")OR(UR(10 DOWNTO 1)OR DR(10 DOWNTO1)="0000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十二層WHEN 11=>IF (UR(12) OR

36、DR(12)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 13)OR DR(16 DOWNTO 13)>"0000" )OR(UR(11 DOWNTO 1)OR DR(11 DOWNTO 1)="00000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十三層WHEN 12=>IF (UR(13) OR

37、DR(13)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 14)11/15.OR DR(16 DOWNTO 14)>"000" )OR(UR(12 DOWNTO 1)OR DR(12 DOWNTO 1)="000000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十四層WHEN 13=>IF (UR(1

38、4) OR DR(14)>'0') THEN LADD(1)<='0' ELSIF ( LADD(0)='1')AND (UR(16 DOWNTO 15)OR DR(16 DOWNTO 15)>"00" )OR(UR(13 DOWNTO 1)ORDR(13DOWNTO1)="0000000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十五層WHEN 14=>IF (UR(15)O

39、R DR(15)>'0') THEN LADD(1)<='0'ELSIF(LADD(0)='1')AND(UR(16)ORDR(16)>'0')OR (UR(14 DOWNTO 1)ORDR(14DOWNTO1)="00000000000000")THEN LADD<="11"ELSE LADD<="10"END IF;-電梯在第十六層WHEN 15=>IF (UR(16) OR DR(16)>'0') THEN

40、LADD(1)<='0'ELSE LADD<="10"END IF;WHEN OTHERS=>NULL;END CASE;END IF;END IF;ELSE LADD<="00"END IF;END PROCESS P3;-電梯運行樓層計數(shù)及提前/延遲關門控制進程P4:PROCESS(DIVID,WAI_T,LADD,CLOSEX,DELAYX)BEGINIF (DIVID'EVENT AND DIVID='1') THEN-分頻后的時鐘上升沿IF (WAI_T="000&quo

41、t; OR CLOSEX='1') THEN WAI_T<="110"12/15.ELSEIF (DELAYX='0')THEN WAI_T<=WAI_T-1;ELSE WAI_T<="010"END IF;-電梯處于運行狀態(tài)IF(WAI_T="001") THENIF (LADD="11") THEN-電梯上升,樓層加1LIFTOR<=LIFTOR+1;ELSIF (LADD="10") THEN LIFTOR<=LIFTOR-1;

42、END IF;END IF;END IF;END IF;END PROCESS P4;END ART;-頂層模塊設計LIBRARY IEEE;ENTITY TOP ISPORT(CLK:IN STD_LOGIC;-2 Hz 時鐘輸入信號UPIN:IN STD_LOGIC;-樓層上升請求鍵DOWNIN:IN STD_LOGIC;-樓層下降請求鍵ST_CH:IN STD_LOGIC;-結合 DIRECT 完成樓層選擇的鍵入CLOSE:IN STD_LOGIC;-提前關門輸入鍵DELAY:IN STD_LOGIC;-延遲關門輸入鍵RUN_STOP:IN STD_LOGIC;-電梯運行的開關鍵LAMP

43、:OUT STD_LOGIC;-電梯運行或停止指示鍵RUN_WAIT:OUTSTD_LOGIC_VECTOR(6DOWNTO0);-結合LAMP 指示電梯運行或等待時間ST_OUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 電梯所在樓層指示數(shù)碼管DIRECT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 樓層選擇指示數(shù)碼管END TOP;ARCHITECTURE ART OF TOP ISCOMPONENT LED ISPORT(LEDIN: IN STD_LOGIC_VECTOR(2 DOWNTO 0);- 輸入信號 LEDOUT: OUT

44、 STD_LOGIC_VECTOR(6 DOWNTO 0);- 輸出信號 END COMPONENT LED;13/15.COMPONENT DTKZQ ISPORT(CLK:IN STD_LOGIC;-2 Hz 時鐘輸入信號UPIN:IN STD_LOGIC;-樓層上升請求鍵DOWNIN:IN STD_LOGIC;-樓層下降請求鍵ST_CH:IN STD_LOGIC;-結合 DIRECT 完成樓層選擇的鍵入CLOSE:IN STD_LOGIC;-提前關門輸入鍵DELAY:IN STD_LOGIC;-延遲關門輸入鍵RUN_STOP:IN STD_LOGIC;-電梯運行的開關鍵LAMP:OUT

45、STD_LOGIC;-電梯運行或停止指示鍵RUN_WAIT:OUTSTD_LOGIC_VECTOR(3DOWNTO0);-結合LAMP 指示電梯運行或等待時間ST_OUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);- 電梯所在樓層指示數(shù)碼管DIRECT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);- 樓層選擇指示數(shù)碼管END COMPONENT DTKZQ;SIGNAL S0,S1,S2:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINU1: DTKZQ PORT MAP(CLK, UPIN, DOWNIN, ST_CH, CL

46、OSE, DELAY, RUN_STOP, LAMP, S0, S1, S2);U2: LED PORT MAP(S0,RUN_WAIT);U3: LED PORT MAP(S1,ST_OUT);U4: LED PORT MAP(S2,DIRECT);END ART;四測試結果及分析1.模擬仿真測試波形圖14/15.2.DTKZQ器件圖五項目總結通過本次課程設計進一步熟悉 Quartus II 軟件的使用和操作方法,以及硬件實現(xiàn)時的下載方法與運行方法;對 Verilog HDL 語言的自頂向下設計方法有了進一步的認識,對其中的許多語句也有了新了解,掌握;對自己獨立思考和解決問題的能力也有了很大的鍛煉。15/15

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!