數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006

上傳人:細(xì)水****9 文檔編號(hào):62521238 上傳時(shí)間:2022-03-15 格式:DOC 頁(yè)數(shù):18 大?。?33KB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006_第1頁(yè)
第1頁(yè) / 共18頁(yè)
數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006_第2頁(yè)
第2頁(yè) / 共18頁(yè)
數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006_第3頁(yè)
第3頁(yè) / 共18頁(yè)

下載文檔到電腦,查找使用更方便

0 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字電路課程設(shè)計(jì)-多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告()08006(18頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、多功能數(shù)字時(shí)鐘設(shè)計(jì)報(bào)告目錄一、 設(shè)計(jì)任務(wù)和要求2二、 設(shè)計(jì)的方案的選擇與論證2(1) 總體電路分析2(2) 仿真分析3(3) 仿真說(shuō)明3三、 電路設(shè)計(jì)計(jì)算與分析4(1) 小時(shí)計(jì)時(shí)電路4(2) 分鐘計(jì)時(shí)電路5(3) 秒鐘計(jì)時(shí)電路7(4) 校時(shí)選擇電路8(5) 整點(diǎn)譯碼電路9(6) 定時(shí)比較電路11(7) 脈沖產(chǎn)生電路12四、 電路仿真五、 總結(jié)及心得13六、 附錄15(1) 元器件明細(xì)表15(2) 附圖17七、 參考文獻(xiàn)17一、 設(shè)計(jì)任務(wù)和要求實(shí)現(xiàn)24小時(shí)的時(shí)鐘顯示、校準(zhǔn)、整點(diǎn)報(bào)時(shí)、鬧鈴等功能。具體要求:(1)顯示功能:具有“時(shí)”、“分”、“秒”的數(shù)字顯示(“時(shí)”從023,分059,秒059)。

2、(2)校時(shí)功能:當(dāng)剛接通電源或數(shù)字時(shí)鐘有偏差時(shí),可以通過(guò)手動(dòng)的方式去校時(shí)。(3)整點(diǎn)報(bào)時(shí):當(dāng)時(shí)鐘計(jì)時(shí)到整點(diǎn)時(shí),能進(jìn)行整點(diǎn)報(bào)時(shí)。(4)鬧鈴功能:在24小時(shí)之內(nèi),可以設(shè)定定時(shí)時(shí)間,當(dāng)數(shù)字時(shí)鐘到定時(shí)時(shí)間時(shí)能進(jìn)行報(bào)時(shí)提醒。二、 設(shè)計(jì)的方案的選擇與論證(1) 總體電路分析總體電路設(shè)計(jì)是將單元電路模塊小時(shí)計(jì)時(shí)電路、分鐘計(jì)時(shí)電路、秒計(jì)時(shí)電路、校時(shí)選擇電路、整點(diǎn)譯碼電路、鬧鐘電路等模塊連接在一起,外接輸入開(kāi)關(guān)和輸出顯示數(shù)碼管構(gòu)成。總體結(jié)構(gòu)圖如下:時(shí)顯示電路分顯示電路秒顯示電路時(shí)譯碼電路分譯碼電路秒譯碼電路時(shí)計(jì)數(shù)電路分計(jì)數(shù)電路秒計(jì)數(shù)電路校時(shí)選擇電路鬧鐘電路秒脈沖產(chǎn)生電路(2) 仿真分析單擊運(yùn)行按鈕,可觀測(cè)仿真結(jié)

3、果。電路能完成顯示計(jì)時(shí)、校時(shí)、整點(diǎn)報(bào)時(shí)以及鬧鈴等功能。計(jì)時(shí)功能。當(dāng)開(kāi)關(guān)S1、S2都處于左邊觸點(diǎn)時(shí),數(shù)字時(shí)鐘工作于計(jì)時(shí)狀態(tài)。此時(shí),電路中的秒計(jì)時(shí)電路、分計(jì)時(shí)電路以及小時(shí)計(jì)時(shí)電路分別對(duì)秒脈沖、分脈沖和小時(shí)脈沖進(jìn)行計(jì)數(shù)。計(jì)數(shù)結(jié)果經(jīng)數(shù)碼管顯示計(jì)時(shí)時(shí)間值。校時(shí)功能。當(dāng)開(kāi)關(guān)S1、S2都處于右邊觸點(diǎn)時(shí),數(shù)字時(shí)鐘工作于校時(shí)狀態(tài)。按瞬態(tài)按鈕B鍵,可以選擇對(duì)“小時(shí)”、“分鐘”和“秒鐘”進(jìn)行校時(shí)。校時(shí)時(shí)通過(guò)開(kāi)關(guān)S3(按C鍵)手動(dòng)輸入校時(shí)時(shí)間。整點(diǎn)報(bào)時(shí)功能。整點(diǎn)譯碼電路通過(guò)識(shí)別整點(diǎn)時(shí)間,產(chǎn)生整點(diǎn)報(bào)時(shí)信號(hào)。當(dāng)前時(shí)間為零點(diǎn)時(shí),會(huì)產(chǎn)生整點(diǎn)報(bào)時(shí),此時(shí)探針會(huì)亮,蜂鳴器會(huì)響。鬧鐘報(bào)時(shí)功能。通過(guò)校時(shí)功能將“小時(shí)”、“分鐘”和“秒鐘

4、”設(shè)定在某一時(shí)間點(diǎn),然后重新校時(shí),調(diào)整到設(shè)定點(diǎn)以前的某一時(shí)間,當(dāng)時(shí)鐘到達(dá)設(shè)定點(diǎn)時(shí),信號(hào)燈會(huì)亮,并且蜂鳴器會(huì)響。(3) 仿真說(shuō)明。因版面有限,總設(shè)計(jì)圖并未納入本設(shè)計(jì)報(bào)告中,而是在此之外通過(guò)PROTELL畫(huà)圖,用A3紙另外打印。這樣看圖較為清晰。采用總線方式,使信號(hào)線連線簡(jiǎn)介、美觀,電路可持續(xù)性強(qiáng)。三、 電路設(shè)計(jì)計(jì)算與分析(1) 小時(shí)計(jì)時(shí)電路。小時(shí)計(jì)時(shí)電路如下圖:該電路用兩片74LS160構(gòu)成二十四進(jìn)制計(jì)數(shù)器,與非門(mén)74LS00D構(gòu)成譯碼電路,該譯碼電路能識(shí)別代碼“24”,輸出信號(hào)使CLR=0,計(jì)數(shù)器的計(jì)數(shù)值被置0.所以,整個(gè)計(jì)數(shù)器的技術(shù)狀態(tài)圖為00至01至02至至23至24(暫態(tài))至00至01至

5、,共有24個(gè)穩(wěn)定狀態(tài)。小時(shí)計(jì)時(shí)電路的封裝模塊如下圖:其引腳功能如表:引腳信號(hào)流向信號(hào)連接HOURSET輸入校時(shí)選擇輸入,正常工作為高電平CLOCK輸入接小時(shí)計(jì)數(shù)脈沖H04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(小時(shí))高位H03輸出H02輸出H01輸出L04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(小時(shí))低位L03輸出L02輸出L01輸出(2) 分鐘計(jì)時(shí)電路。分鐘計(jì)時(shí)電路如下圖:該電路用兩片74LS160構(gòu)成六十進(jìn)制計(jì)數(shù)器,與非門(mén)74LS00D構(gòu)成譯碼電路,該譯碼電路能識(shí)別代碼59。整個(gè)計(jì)數(shù)器的計(jì)數(shù)狀態(tài)圖為00至01至至58至59至00,共有60個(gè)穩(wěn)定的狀態(tài)。其封裝引腳同小時(shí)計(jì)時(shí)電路。分鐘計(jì)時(shí)電路的封裝模塊如

6、下圖:其引腳功能如下表:引腳信號(hào)流向信號(hào)連接MINSET輸入校時(shí)選擇輸入,正常工作為高電平CLOCK輸入接分鐘計(jì)數(shù)脈沖H04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(分鐘)高位H03輸出H02輸出H01輸出CLR輸入接清零輸入,系統(tǒng)未用,接高電平L04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(分鐘)低位L03輸出L02輸出L01輸出(3) 秒鐘計(jì)時(shí)電路。秒鐘計(jì)時(shí)電路如下圖:該電路用兩片74LS160構(gòu)成六十進(jìn)制計(jì)數(shù)器,與非門(mén)74LS00D構(gòu)成譯碼電路,該譯碼電路能識(shí)別代碼59。整個(gè)計(jì)數(shù)器的計(jì)數(shù)狀態(tài)圖為00至01至至58至59至00,共有60個(gè)穩(wěn)定的狀態(tài)。其封裝引腳同小時(shí)計(jì)時(shí)電路。秒鐘計(jì)時(shí)電路的封裝模塊如下圖:

7、其引腳功能如下表:引腳信號(hào)流向信號(hào)連接SECSET輸入校時(shí)選擇輸入,正常工作為高電平CLOCK輸入接秒鐘計(jì)數(shù)脈沖H04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(秒鐘)高位H03輸出H02輸出H01輸出CLR輸入接清零輸入,系統(tǒng)未用,接高電平L04輸出接LED數(shù)碼管,顯示計(jì)時(shí)時(shí)間(秒鐘)低位L03輸出L02輸出L01輸出(4) 校時(shí)選擇電路。校時(shí)選擇電路如下圖:校時(shí)選擇電路用計(jì)數(shù)器74LS160和譯碼器74LS138組成,計(jì)數(shù)器74LS160設(shè)計(jì)為三進(jìn)制計(jì)數(shù)器,譯碼器的輸出為反變量,其輸出要接反向器。校時(shí)選擇電路的封裝模塊如下圖:其對(duì)應(yīng)的封裝引腳如下表:引腳信號(hào)流向信號(hào)連接TIMESET輸入接瞬態(tài)開(kāi)關(guān)H

8、OUR輸出接小時(shí)計(jì)時(shí)電路的HOURSET輸入端MIN輸出接分鐘計(jì)時(shí)電路的MINSET輸入端SEC輸出接秒鐘計(jì)時(shí)電路的SECSET輸入端TIMESET:接瞬態(tài)開(kāi)關(guān),可手動(dòng)選擇校時(shí)信號(hào)。當(dāng)校時(shí)信號(hào)HOUR=1、MIN=0、SEC=0時(shí)(選中“小時(shí)”計(jì)時(shí)電路,表示對(duì)“小時(shí)”進(jìn)行校時(shí));單擊一次開(kāi)關(guān)按鈕,可使校時(shí)選擇信號(hào)變?yōu)镠OUR=0、MIN=1、SEC=0(選中“分鐘”計(jì)時(shí)電路,表示對(duì)“分鐘”進(jìn)行校時(shí)),再單擊一次開(kāi)關(guān)按鈕,可使校時(shí)選擇信號(hào)變?yōu)镠OUR=0、MIN=0、SEC=1(選中“秒”計(jì)時(shí)電路,表示對(duì)“秒”進(jìn)行校時(shí)),這樣可手動(dòng)設(shè)置系統(tǒng)的時(shí)、分和秒。(5) 整點(diǎn)譯碼電路。整點(diǎn)譯碼電路的作用是

9、識(shí)別整點(diǎn)時(shí)間信號(hào),以實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能。整點(diǎn)時(shí)間信號(hào)的特征是零分,零分作為數(shù)字量來(lái)說(shuō),是一個(gè)代碼,用門(mén)電路組成的譯碼電路可識(shí)別一個(gè)代碼。整點(diǎn)譯碼電路如下圖:其封裝模塊如下圖:其引腳功能功能如表:引腳信號(hào)流向信號(hào)連接I01輸入接分鐘計(jì)時(shí)模塊輸出I02輸入I03輸入I04輸入I05輸入I06輸入I07輸入I08輸入I09輸出接整點(diǎn)報(bào)時(shí)指示燈(6) 定時(shí)比較電路(鬧鐘電路)。定時(shí)比較電路是將設(shè)定的定時(shí)時(shí)間和當(dāng)前的計(jì)時(shí)時(shí)間進(jìn)行比較,電路可選用數(shù)值比較器CC4585。定時(shí)比較電路如圖所示:該電路共用四片CC4585和四片74LS175D構(gòu)成定時(shí)比較電路,因?yàn)槎〞r(shí)時(shí)間為小時(shí)和分鐘,共16位二進(jìn)制代碼,每片

10、數(shù)值比較器CC4585能比較兩個(gè)4位二進(jìn)制代碼,用4片CC4585能構(gòu)成16位數(shù)值比較器。當(dāng)數(shù)字時(shí)鐘的計(jì)時(shí)時(shí)間等于設(shè)定時(shí)間時(shí),定時(shí)比較電路輸出高電平,否則輸出低電平。定時(shí)比較電路封裝模塊如圖:(7) 脈沖產(chǎn)生電路。脈沖產(chǎn)生電路如圖:該電路由555定時(shí)器構(gòu)成時(shí)鐘脈沖產(chǎn)生電路。由于其相對(duì)于MULTSIM軟件提供的脈沖產(chǎn)生器件較復(fù)雜,故在此并不是用這個(gè)秒脈沖電路,而是用所提供器件。四、 總結(jié)與心得時(shí)間過(guò)的好快,轉(zhuǎn)眼間,為期一周的數(shù)字電路課程設(shè)計(jì)就結(jié)束了。通過(guò)這一周的課程設(shè)計(jì),我拓寬了知識(shí)面,鍛煉了能力,綜合素質(zhì)得到較大提高。設(shè)計(jì),給人以創(chuàng)作的沖動(dòng)。但凡涉及設(shè)計(jì)都是一件良好的事情,因?yàn)樗芙o人以美的幻

11、想,因?yàn)樗芙o人以金般財(cái)富,因?yàn)樗芙o人以成就之感,更為現(xiàn)實(shí)的是她能給人以成長(zhǎng)以及成長(zhǎng)所需的營(yíng)養(yǎng),而這種營(yíng)養(yǎng)更是一種福祉,一輩子消受不竭享用不盡。安排課程設(shè)計(jì)的基本目的,在于通過(guò)理論與實(shí)際的結(jié)合、人與人的溝通,進(jìn)一步提高思想覺(jué)悟。尤其是觀察、分析和解決問(wèn)題的實(shí)際工作能力,以便培養(yǎng)成為能夠主動(dòng)適應(yīng)社會(huì)主義現(xiàn)代化建設(shè)需要的高素質(zhì)的復(fù)合型人才。課程設(shè)計(jì)發(fā)端之始,思緒全無(wú),舉步維艱,對(duì)于理論知識(shí)學(xué)習(xí)不夠扎實(shí)的我深感“書(shū)到用時(shí)方恨少”,于是想起圣人之言“溫故而知新”,便重拾教材與實(shí)驗(yàn)手冊(cè),對(duì)知識(shí)系統(tǒng)而全面進(jìn)行了梳理,遇到難處先是苦思冥想再向同學(xué)請(qǐng)教,終于熟練掌握了基本理論知識(shí),而且領(lǐng)悟諸多平時(shí)學(xué)習(xí)難以理

12、解掌握的較難知識(shí),學(xué)會(huì)了如何思考的思維方式,找到了設(shè)計(jì)的靈感。課程設(shè)計(jì)的過(guò)程中,由于對(duì)理論掌握的不熟練,或者是操作過(guò)程中發(fā)生失誤,都會(huì)導(dǎo)致最后結(jié)果出不來(lái)。至善至美,是人類永恒的追求。但是,不從忘卻“金無(wú)足赤,人無(wú)完人”,我們換種思維方式,去惡亦是至善,改錯(cuò)亦為至美。在課程設(shè)計(jì)過(guò)程中,我們不斷發(fā)現(xiàn)錯(cuò)誤,不斷改正,不斷領(lǐng)悟,不斷獲取。最終的檢測(cè)調(diào)試環(huán)節(jié),本身就是在踐行“過(guò)而能改,善莫大焉”的知行觀。對(duì)我們電子信息專業(yè)的本科生來(lái)說(shuō),實(shí)際能力的培養(yǎng)至關(guān)重要,而這種實(shí)際能力的培養(yǎng)單靠課堂教學(xué)是遠(yuǎn)遠(yuǎn)不夠的,必須從課堂走向?qū)嵺`。這也是一次預(yù)演和準(zhǔn)備畢業(yè)設(shè)計(jì)工作。通過(guò)課程設(shè)計(jì),讓我們找出自身狀況與實(shí)際需要的差

13、距,并在以后的學(xué)習(xí)期間及時(shí)補(bǔ)充相關(guān)知識(shí),為求職與正式工作做好充分的知識(shí)、能力準(zhǔn)備,從而縮短從校園走向社會(huì)的心理轉(zhuǎn)型期。作為整個(gè)學(xué)習(xí)體系的有機(jī)組成部分,課程設(shè)計(jì)雖然安排在兩周進(jìn)行,但并不具有絕對(duì)獨(dú)立的意義。它的一個(gè)重要功能,在于運(yùn)用學(xué)習(xí)成果,檢驗(yàn)學(xué)習(xí)成果。運(yùn)用學(xué)習(xí)成果,把課堂上學(xué)到的系統(tǒng)化的理論知識(shí),嘗試性地應(yīng)用于實(shí)際設(shè)計(jì)工作,并從理論的高度對(duì)設(shè)計(jì)工作的現(xiàn)代化提出一些有針對(duì)性的建議和設(shè)想。檢驗(yàn)學(xué)習(xí)成果,看一看課堂學(xué)習(xí)與實(shí)際工作到底有多大距離,并通過(guò)綜合分析,找出學(xué)習(xí)中存在的不足,以便為完善學(xué)習(xí)計(jì)劃,改變學(xué)習(xí)內(nèi)容與方法提供實(shí)踐依據(jù)。通過(guò)課程設(shè)計(jì),我還更加明白了一個(gè)真理。時(shí)至今日,課程設(shè)計(jì)基本告成,

14、才切身領(lǐng)悟“實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)”,才明曉實(shí)踐出真知。因?yàn)樵诮滩纳?,?shù)字鐘不過(guò)是由計(jì)數(shù)器和譯碼顯碼器組合而成,也便不以為然搭建電路圖,結(jié)果電路出現(xiàn)諸多問(wèn)題,譬如短路開(kāi)路,EWB中引腳懸空即為低電平,現(xiàn)實(shí)中引腳懸空呈現(xiàn)大電阻特性即高電平,不為則不知,無(wú)為則無(wú)知,實(shí)踐出真知。課程設(shè)計(jì)達(dá)到了專業(yè)學(xué)習(xí)的預(yù)期目的。在一個(gè)星期的課程設(shè)計(jì)之后,我們普遍感到不僅實(shí)際動(dòng)手能力有所提高,更重要的是通過(guò)對(duì)設(shè)計(jì)過(guò)程的了解,進(jìn)一步激發(fā)了我們對(duì)專業(yè)知識(shí)的興趣,并能夠結(jié)合實(shí)際存在的問(wèn)題在專業(yè)領(lǐng)域內(nèi)進(jìn)行更深入的學(xué)習(xí)。五、 附錄一、 元器件明細(xì)表74LS160N 74LS138N管腳圖如下: 管腳圖如下: 74LS175D 4585BD管腳圖如下: 管腳圖如下: 74LS00D 電源 74LS21D 7432N 74LS04D 74LS08D 7408N 蜂鳴器 數(shù)碼管 信號(hào)燈 秒脈沖 開(kāi)關(guān) 雙選擇開(kāi)關(guān) 開(kāi)關(guān) 二、 附圖(見(jiàn)A3打印紙)六、 參考文獻(xiàn)1. 從宏壽.Multisim8仿真與應(yīng)用實(shí)例開(kāi)發(fā).北京:清華大學(xué)出版社,20072. 黃智偉.基于NI Multisim的電子電路計(jì)算機(jī)仿真設(shè)計(jì)與分析.北京:電子工業(yè)出版社,20083. 閻石.數(shù)字電子技術(shù)基礎(chǔ).第四版.北京:高等教育出版社,20104. 郭鎖利.基于Multisim9的電子系統(tǒng)設(shè)計(jì)、仿真與綜合應(yīng)用.北京:人民郵電出版社,2008

展開(kāi)閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!