微機(jī)原理和接口技術(shù)[習(xí)題答案解析]

上傳人:無*** 文檔編號(hào):90523769 上傳時(shí)間:2022-05-15 格式:DOC 頁數(shù):15 大?。?04KB
收藏 版權(quán)申訴 舉報(bào) 下載
微機(jī)原理和接口技術(shù)[習(xí)題答案解析]_第1頁
第1頁 / 共15頁
微機(jī)原理和接口技術(shù)[習(xí)題答案解析]_第2頁
第2頁 / 共15頁
微機(jī)原理和接口技術(shù)[習(xí)題答案解析]_第3頁
第3頁 / 共15頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《微機(jī)原理和接口技術(shù)[習(xí)題答案解析]》由會(huì)員分享,可在線閱讀,更多相關(guān)《微機(jī)原理和接口技術(shù)[習(xí)題答案解析](15頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、.第1章 微機(jī)運(yùn)算基礎(chǔ)習(xí)題和思考題1. 請(qǐng)完成以下計(jì)算:17466D=BAE. A8H4BCHB BCD2. 設(shè)字長(zhǎng)為8位,X2A16,當(dāng)X分別為原碼、補(bǔ)碼、反碼和無符號(hào)數(shù)的時(shí)候,其真值是多少?答:當(dāng)X表示原碼時(shí),其真值為:101010當(dāng)X表示補(bǔ)碼時(shí),其真值為:101010當(dāng)X表示反碼時(shí),其真值為:101010當(dāng)X表示無符號(hào)數(shù)數(shù)時(shí),其真值為:001010103. 設(shè)字長(zhǎng)為8位,用補(bǔ)碼形式完成下列計(jì)算,要求有運(yùn)算結(jié)果并討論是否發(fā)生溢出?12018 33379070 5084答:12018其補(bǔ)碼形式分別為:120補(bǔ)01111000 18補(bǔ)00010010 01111000 00010010 100

2、01010由于Cs=0 ,Cp=1,因此有溢出,結(jié)果錯(cuò)誤3337其補(bǔ)碼形式為:33補(bǔ)11011111 37補(bǔ)11011011 1101111111011011 10111010由于Cs=1, Cp=1,所以沒有溢出,結(jié)果正確9070其補(bǔ)碼形式為:90補(bǔ)10011100 70補(bǔ)10111010 1001110010111010 01010110由于Cs=1, Cp=0,所以有溢出,結(jié)果錯(cuò)誤 5084其補(bǔ)碼形式為:50補(bǔ)00110010 84補(bǔ)01010100 0011001001010100 10000110由于Cs=0, Cp=1,所以有溢出,結(jié)果錯(cuò)誤4. 請(qǐng)寫出下列字符串的ASCII碼值。M

3、y name is Zhang san.4D 79 6E 61 6D 65 69 73 5A 68 61 6E 67 73 61 6E 2E第2章 80X86微機(jī)系統(tǒng)習(xí)題與思考題1. 微型計(jì)算機(jī)主要由哪些基本部件組成?各部件的主要功能是什么?答:微型計(jì)算機(jī)主要由輸入設(shè)備、運(yùn)算器、控制器、存儲(chǔ)器和輸出設(shè)備組成。各部件的功能分別是:1、輸入設(shè)備通過輸入接口電路將程序和數(shù)據(jù)輸入內(nèi)存;2、運(yùn)算器是進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算的部件,它是指令的執(zhí)行部件;3、控制器是計(jì)算機(jī)的指揮中心,它負(fù)責(zé)對(duì)指令進(jìn)行譯碼,產(chǎn)生出整個(gè)指令系統(tǒng)所需要的全部操作的控制信號(hào),控制運(yùn)算器、存儲(chǔ)器、輸入/輸出接口等部件完成指令規(guī)定的操作;

4、4、存儲(chǔ)器用來存放程序、原始操作數(shù)、運(yùn)算的中間結(jié)果數(shù)據(jù)和最終結(jié)果數(shù)據(jù); 5、輸出設(shè)備是CPU通過相應(yīng)的輸出接口電路將程序運(yùn)行的結(jié)果及程序、數(shù)據(jù)送到的設(shè)備;2. 微處理器的發(fā)展過程是什么?答:微型計(jì)算機(jī)的發(fā)展過程是:第一代19461957采用電子管為邏輯部件,以超聲波汞延遲線、陰極射線管、磁芯和磁鼓等為存儲(chǔ)手段;軟件上采用機(jī)器語言,后期采用匯編語言。第二代19571965采用晶體管為邏輯部件,用磁芯、磁盤作內(nèi)存和外存;軟件上廣泛采用高級(jí)語言,并出現(xiàn)了早期的操作系統(tǒng)。第三代19651971采用中小規(guī)模集成電路為主要部件,以磁芯、磁盤作內(nèi)存和外存;軟件上廣泛使用操作系統(tǒng),產(chǎn)生了分時(shí)、實(shí)時(shí)等操作系統(tǒng)和

5、計(jì)算機(jī)網(wǎng)絡(luò)。第四代1971至今采用大規(guī)模集成電路LSI、超大規(guī)模集成電路VLSI為主要部件,以半導(dǎo)體存儲(chǔ)器和磁盤為內(nèi)、外存儲(chǔ)器;在軟件方法上產(chǎn)生了結(jié)構(gòu)化程序設(shè)計(jì)和面向?qū)ο蟪绦蛟O(shè)計(jì)的思想。3. 簡(jiǎn)述80486微處理器的基本結(jié)構(gòu)。書12頁4. 80486微處理器的工作模式有幾種?當(dāng)CS內(nèi)容為1000H,IP內(nèi)容為7896H,求在實(shí)地址模式下的物理地址為多少?答:實(shí)模式和保護(hù)模式及虛擬8086模式。當(dāng)CS內(nèi)容為1000H,IP內(nèi)容為7896H,在實(shí)地址模式下的物理地址為17896H.5. 簡(jiǎn)述EPROM的工作原理。書27頁。6. 總線有那幾種類型?答:按照總線的傳輸類型可分為數(shù)據(jù)、地址、控制三類;按

6、和cpu的距離可分為內(nèi)部總線、系統(tǒng)總線和外部總線。7. 簡(jiǎn)述ISA與EISA總線的的區(qū)別。它們的局限性表現(xiàn)在哪里?書34頁。8. PCI局部總線有些什么特點(diǎn)?書35頁9. 什么是USB?它有什么特點(diǎn)?書41頁10. IEEE 1394總線有哪些特點(diǎn)?它與USB總線的區(qū)別在哪里?書41頁。11. 簡(jiǎn)述現(xiàn)場(chǎng)總線的特點(diǎn)。書42頁。12. 當(dāng)代計(jì)算發(fā)展的主要核心技術(shù)有哪些?當(dāng)代計(jì)算機(jī)的主要核心技術(shù)有:CACHE技術(shù)、流水線技術(shù)、VM技術(shù)、RISC技術(shù)、多內(nèi)核技術(shù)第3章 80X86指令系統(tǒng)習(xí)題與思考題1. 指出下列各指令中源操作數(shù)和目的操作數(shù)的尋址方式: MOV BX,WORD PTR2200H AAA

7、 JUM 2200H LESDI,2100H MOV BX+SI+8, BX解答 MOV BX, WORD PTR2200H源操作數(shù):直接尋址;目的操作數(shù):寄存器尋址 AAA源操作數(shù):寄存器尋址AL;目的操作數(shù):寄存器尋址 JUM 2200H程序轉(zhuǎn)移段內(nèi)直接尋址方式 LESDI,2100H源操作數(shù):直接尋址;目的操作數(shù):寄存器尋址 MOV BX+SI+8, BX源操作數(shù):寄存器尋址;目的操作數(shù):基址加變址尋址2. 若AX=0ABCDH,BX=7F8FH,CF=1。求分別執(zhí)行8086 CPU指令 ADDAX,BX ADCAX,BX SBBAX,BX NEGAX AND AX,BX ORAX,BX

8、 XORAX, BX IMUL BL后,AX寄存器中的內(nèi)容,并指出標(biāo)志寄存器SF,ZF,AF,PF,CF及OF的狀態(tài)。解答 AX=2B5CH,SZAPCO=001110B AX=2B5DH,SZAPCO=001010B AX=2C3DH,SZAPCO=001001B AX=5433H,SZAPCO=001110B AX=2B8DH,SZAPCO=00X100B AX=FFCFH,SZAPCO=10X100B AX=D222H,SZAPCO=10X100B AX=3283H,SZAPCO=XXXX11B3. 若AL=78H,BL=87H,求執(zhí)行指令A(yù)DD AL,BLDAA之后,AL?、標(biāo)志AF?

9、、CF? 若執(zhí)行指令 SUB AL,BL與DAS后,AL?、標(biāo)志AF?、CF?解答 AL=65H, AF=1, CF=1。 AL=91H, AF=0, CF=1。4. 指出下列指令中哪些是錯(cuò)誤的,并指出錯(cuò)在何處? MOV DL,DX MOV ES,2000H SUB BX,SI ADD AX,BX+CX XCHG DS,2400H DEC 15H IN AL,DX OUT 300H,AX解答 錯(cuò),DX不能作為間接尋址的寄存器。 錯(cuò),立即數(shù)不能直接送給段寄存器。 錯(cuò),兩個(gè)存儲(chǔ)單元間內(nèi)容不能直接相減。 錯(cuò),CX寄存器不能作為變址寄存器。 錯(cuò),不允許段寄存器與存儲(chǔ)單元內(nèi)容進(jìn)行交換 錯(cuò),減1指令不能對(duì)

10、段寄存器直接操作 對(duì) 錯(cuò),輸出指令的地址若是16位,必須用DX間址。5. 下列程序段執(zhí)行后 AX=?設(shè)數(shù)據(jù)段有: TABLEDW100,200,300,400ENTRYDW3代碼段對(duì)DS初始化MOV BX,OFFSET TABLEMOVSI,ENTRYMOVAX,BX+SI執(zhí)行后 AX=2C006. 將AL中的8位二進(jìn)制數(shù)按倒序方式重新排列,即AL原來為D7D6D0,倒序后AL=D0D1D7。參考答案MOVCX, 8L:SHLAL, 1RCRBL, 1LOOP LMOV AL, BL7.若起始地址偏移量為2000H的內(nèi)存單元存放有100個(gè)ASCII碼字符,現(xiàn)給這些字符添加奇偶校驗(yàn)位,使每個(gè)字符

11、中1”的個(gè)數(shù)為偶數(shù),在順序輸出到地址為100H的端口。解答MOVSI, 2000HMOVCX, 100MOVDX, 100HNEXT2:MOVAL, SI;取字符串中字符送ALANDAL, 0FFH;改變標(biāo)志位JPENEXT1;1”的個(gè)數(shù)為偶,bit7不變ORAL, 80H;1”的個(gè)數(shù)為奇,bit7變?yōu)?NEXT1:OUT DX, ALINCSILOOPNEXT2MOVAH, 4CHINT21H8. 若SS=1000H,SP=2000H,AX=1234H,BX=5678H,FR=2115,試說明執(zhí)行指令PUSHAXPUSHBXPUSHFPOPCXPOP DX之后,SP=? SS=? CX=?

12、DX=? 并畫圖指出堆棧中各單元的內(nèi)容。解答:SS=1000HSP=1FFEHCX=2115HDX=5678H棧中各單元內(nèi)容如下所示:地址RAM11FFAH211511FFCH567811FFEH123412000H第4章 宏匯編語言習(xí)題與思考題1.畫出以下變量在內(nèi)存中存儲(chǔ)的示意圖。D1 DB A,123,09H, 45D2 DW A,CD,23H, 4567HD3 DD 1234H, 23456789H2.設(shè)計(jì)子程序分別完成以下功能:1根據(jù)給定的參數(shù)值,判斷該參數(shù)是偶數(shù)還是奇數(shù)。2把給定參數(shù)值的高、低數(shù)據(jù)位互換。3.設(shè)計(jì)相應(yīng)的宏完成以下功能:1把給定的參數(shù)按二進(jìn)制顯示。2求兩個(gè)參數(shù)的和,并按

13、十進(jìn)制形式顯示。第5章 匯編語言程序設(shè)計(jì)習(xí)題與思考題1. 設(shè)數(shù)據(jù)段中有字節(jié)型單元NUMBER和FLAG,判斷NUMBER與給定的X和Y的大小關(guān)系X,若NUMBERY,則置FLAG1,若NUMBERX,則置FLAG=-1,否則置FLAG=0。解答:.486Data segmentNUMBER db ?FLAG db ?Data endsCode segment Assume cs: Code, ds: DataBeg: mov ax,data Mov ds,axmov al, X Mov bl, Y Cmp NUMBER, X Jnc P1 Mov FLAG, 0FFH Jmp exitP1:

14、cmp NUMBER, Y Ja P2 Mov FLAG, 00H Jmp exitP2: mov FLAG, 01HExit: mov ah, 4ch Int 21hCode ends End Beg2. 計(jì)算123100,并把和保存在SUM單元中。.486DATA SEGMENT USE16TT DB 1SUM DW ?DATA ENDSCODE SEGMENT USE16ASSUME CS:CODE,DS:DATABEG: MOV AX , DATAMOV DS, AX MOV CX, 100 MOV AX, 0START: ADD AX, TT INC TTLOOP STARTMOV

15、SUM, AXMOV AH, 4CHMOV 21HCODE ENDSEND BEG3. 對(duì)一個(gè)給定的N數(shù)據(jù),用冒泡排序法進(jìn)行排序。解答:.486Data segmentBuf db abcd18437hjLens equ $-bufCount db lensFlag db ?Data endsCode segment Assume cs: code, ds: dataBeg: mov ax, data Mov ds, axAgain: dec count Jz done Mov flag, 0 Mov cx, count Mov si, offset buf Last: mov al, si

16、Mov ah, si+1 Cmp ah, al Jnc next Mov si, ahMv si+1, al Mov flag, 1Next: inc si Loop last Cmp flag, 1 Je againDone: mov buf+lens, $ Mov ah, 9 Mov dx, offset buf Int 21h Mov ah, 4ch Int 21hCode ends End Beg4. 由鍵盤輸入任意的兩個(gè)十進(jìn)制數(shù),然后轉(zhuǎn)換成對(duì)應(yīng)的壓縮BCD碼的形式在屏幕上顯示。.486DATA SEGMENT USE16BCD DB ?BUF DB 3 DB ?DB 3DUPMESG

17、1 DB PLEASE INPUT :,0DH,OAH,$MESG2 DB ERROR! NUMBER IS FROM 0 TO 9. PLEASE AGAIN!$DATA ENDSCODE SEGMENT USE16 ASSUME CS:CODE,DS:DATABEG: MOV AX , DATA MOV DS, AXL1: MOV DX, OFFSET MESG1 MOV AH, 9 ;顯示MESG1INT 21H MOV AH, 0AHMOV DX, OFFSET BUFINT 21H ;輸入字符串MOV AL, BUF+2CMP AL, 30HJC ERRORCMP AL, 3AHJC

18、 NEXT ;判斷第一個(gè)數(shù)輸入是否符合要求ERROR: MOV DX, OFFSET MESG2MOV AH, 9 INT 21H JMP L1 ;輸入錯(cuò)誤提示重新輸入NEXT: SUB AL, 30H SAL AL, 4 ;求出十位數(shù)字 MOV BCD, AL MOV AL, BUF+3 CMP AL, 30HJC ERRORCMP AL, 3AHJNC ERROR ;判斷第二個(gè)數(shù)輸入是否符合要求 SUB AL, 30H OR BCD, AL MOV AH, 4CHINT 21HCODE ENDS END BEG5. 由鍵盤輸入兩個(gè)十六進(jìn)制數(shù),轉(zhuǎn)換成等值的十進(jìn)制數(shù)后在屏幕上顯示。CMPDIS

19、P MACRO NN ;用宏語句完成比較法二進(jìn)制到十進(jìn)制轉(zhuǎn)換LOCAL LAST,NEXT MOV DL, 0LAST: CMP NUM, NN JC NEXTINC DLSUB NUM, NNJMP LASTNEXT: ADD DL, 30H MOV AH, 2 INT 21H ENDMDATA SEGMENT USE16NUM DB ?BUF DB 3DB ?DB 3DUPDATA ENDSCODE SEGMENT USE16 ASSUME CS:CODE,DS:DATABEG: MOV AX , DATA MOV DS, AXMOV AH, 0AH MOV DX, OFFSET BUFI

20、NT 21H MOV AL, BUF+2CMP AL, 39HJNA L1 ;數(shù)字在0到9之間轉(zhuǎn)SUB AL, 07H ;數(shù)字在A到F之間L1: SUB AL, 30HMUL 16 MOV NUM, ALMOV AL, BUF+3CMP AL, 39HJNA L2SUB AL, 07HL2: SUB AL, 30H ADD NUM, AL ;NUM中為十六進(jìn)制數(shù)的二進(jìn)制表示 CMPDISP 100 CMPDISP 10 CMPDISP 1 MOV AH, 4CHINT 21HCODE ENDSEND BEG第6章 接口技術(shù)習(xí)題與思考題1什么是接口??jī)蓚€(gè)部件或兩個(gè)系統(tǒng)之間的連接。微機(jī)接口,則涉及

21、到微處理器與各外部設(shè)備之間的接口、微處理器與存儲(chǔ)器之間的接口以及微型計(jì)算機(jī)之間的接口。習(xí)慣上說到接口只是指I/O接口,是指微處理器與外設(shè)之間的接口稱為I/O接口。2端口獨(dú)立編址有哪些特點(diǎn)?和統(tǒng)一編址的區(qū)別是什么?解答:解答:輸入/輸出指令和訪問存儲(chǔ)器的指令明顯區(qū)分開,使程序清晰,可讀性好;而且I/O指令長(zhǎng)度短,執(zhí)行的速度快,也不占用內(nèi)存空間,I/O地址譯碼電路較簡(jiǎn)單。不足之處是CPU指令系統(tǒng)中必須有專門的IN和OUT指令,這些指令的功能沒有訪問存儲(chǔ)器的指令的功能強(qiáng);I/O端口數(shù)目有限。另外,CPU要能提供區(qū)分存儲(chǔ)器讀/寫和I/O讀/寫的控制信號(hào)。3DX間接尋址主要應(yīng)用在什么情況?和直接端口尋址

22、的區(qū)別是什么?端口地址大于255的時(shí)候。4I/O端口地址譯碼電路有哪幾種結(jié)構(gòu)形式?解答:固定式譯碼和可選式譯碼。5CPU和I/O設(shè)備間的數(shù)據(jù)傳送方式有哪些?區(qū)別是什么?有4種。無條件、查詢、中斷和DMA方式。區(qū)別見書140頁。第7章 中斷技術(shù)習(xí)題與思考題1什么是中斷?什么是中斷優(yōu)先級(jí)?設(shè)置中斷優(yōu)先級(jí)的目的是什么?書143頁。2中斷處理的一般過程是什么?中斷請(qǐng)求,中斷判優(yōu),中斷響應(yīng),中斷處理,中斷返回。3什么是中斷嵌套?如何處理中斷嵌套?當(dāng)前中斷還未處理完畢,又有了新的優(yōu)先級(jí)更高的中斷請(qǐng)求,cpu轉(zhuǎn)而去處理優(yōu)先級(jí)更高的中斷稱為中斷嵌套。4什么是中斷向量?它與中斷服務(wù)程序入口地址的關(guān)系是什么?中斷

23、向量即中斷服務(wù)程序的入口地址。5什么是中斷類型號(hào)?它的作用是什么?中斷類型號(hào)就是中斷源的編號(hào),在中斷系統(tǒng)中用作中斷源的識(shí)別。6可屏蔽中斷和INTn中斷的處理區(qū)別是什么?可屏蔽中斷是硬件中斷而INTn中斷是軟件中斷。7不可屏蔽中斷和可屏蔽中斷各有何特點(diǎn)?其用途如何?不可屏蔽中斷的優(yōu)先級(jí)高于可屏蔽中斷,不可屏蔽中斷不受指令cli的影響,常用于緊急情況的故障處理,并由系統(tǒng)使用,一般用戶不能使用。8PC微機(jī)的中斷系統(tǒng)由哪幾部分組成?由CPU端的中斷邏輯以及中斷控制器8259組成。980X86的異常有哪幾個(gè)層次?各層次的特點(diǎn)是什么?書148頁10保護(hù)虛擬地址下的中斷如何管理?采用中斷描述表IDT進(jìn)行管理

24、。11可編程中斷控制器8259A協(xié)助CPU處理哪些中斷事務(wù)?書162頁。128259A具有哪些工作方式和中斷操作功能?指出與這些功能相對(duì)應(yīng)的命令字的內(nèi)容?書164頁。13在什么情況下,才要求用戶對(duì)8259A進(jìn)行初始化?在沒有配置完善的操作系統(tǒng)的單板機(jī)上需要對(duì)8259初始化。14如何對(duì)8259A進(jìn)行初始化編程?書176,177頁。15什么是中斷響應(yīng)周期?在中斷響應(yīng)周期中CPU和8259A一般要完成哪些工作?書165頁16用戶利用PC微機(jī)的中斷系統(tǒng)資源進(jìn)行中斷傳送時(shí),要求用戶對(duì)8259A的哪些命令進(jìn)行編程使用?17中斷結(jié)束命令安排在程序的什么地方?在什么情況下要求發(fā)中斷結(jié)束命令?中斷結(jié)束命令安排在

25、中斷返回指令iret前。如果8259工作在非自動(dòng)中斷結(jié)束方式下則要求發(fā)送中斷結(jié)束命令。18保護(hù)模式下中斷服務(wù)程序怎樣入口?書157頁。第8章 常用I/O接口芯片習(xí)題與思考題1 可編程并行接口8255A面向CPU一側(cè)的端口有幾個(gè)。4個(gè)。分別是1個(gè)控制子端口和3個(gè)數(shù)據(jù)口。2 PC口按位置/復(fù)位字是如何定義的? PC口按位置/復(fù)位命令字用于PC引腳作輸出單位控制或軟件設(shè)定8255A的相應(yīng)狀態(tài)。PC口按位置/復(fù)位命令字格式:D7D6D5D4D3D2D1D0特征位值為0未用PC口位號(hào)選擇置/復(fù)位選擇其中:D0為置/復(fù)位選擇位:D0=0表示相應(yīng)位清0,D0=1表示相應(yīng)位置1。D3D2D1選擇被置/復(fù)位的位

26、號(hào):D3D2D1=000選擇PC口第0位; D3D2D1=001選擇PC口第1位; D3D2D1=010選擇PC口第2位; D3D2D1=011選擇PC口第3位; D3D2D1=100選擇PC口第4位; D3D2D1=101選擇PC口第5位; D3D2D1=110選擇PC口第6位; D3D2D1=111選擇PC口第7位。D6D5D4沒有使用,一般讓D6D5D4=000。D7位為特征位,該命令字處D7=0。3 如何對(duì)8255A進(jìn)行初始化編程?對(duì)8255A的編程涉及到兩個(gè)內(nèi)容:一是寫控制字設(shè)置工作方式等信息;二是使C口的指定位置位/復(fù)位的功能。在8255A工作之前,首先要對(duì)其進(jìn)行初始化,也就是要寫

27、入控制字,來指定它的工作方式,指明是否允許它的某組端口采用中斷方式傳送數(shù)據(jù)。如果要改變某組端口的工作方式或中斷允許情況,必須重新寫入控制字。前面講過,控制字有兩個(gè),分別為方式命令字和按位置/復(fù)位命令字,它們都必須寫向控制寄存器。在實(shí)際應(yīng)用中,可根據(jù)需要寫入一個(gè)或兩個(gè)命令字。4 8255A有哪幾種工作方式?各自有什么特點(diǎn)?8255A有三種工作方式,用戶可以通過編程來設(shè)置。方式0簡(jiǎn)單輸入/輸出查詢方式;A,B,C三個(gè)端口均可。方式1選通輸入/輸出中斷方式;A ,B,兩個(gè)端口均可方式2雙向輸入/輸出中斷方式。只有A端口才有。5 請(qǐng)比較RS-232和TTL的電器特性,以及它們之間如何轉(zhuǎn)化?書203頁。

28、轉(zhuǎn)化要用到專門的集成電路轉(zhuǎn)換器件。6 異步傳輸方式和同步傳輸方式有和區(qū)別?書198頁。他們的區(qū)別主要是達(dá)到同步的方式不同,楨結(jié)構(gòu)不同。7 8251在PC機(jī)中的是如何應(yīng)用的?書231頁。8 8253A三個(gè)計(jì)數(shù)器分別在PC機(jī)中的應(yīng)用是什么?9 8253如何完成日時(shí)鐘定時(shí)?書233頁。10 8237在PC機(jī)中是如何應(yīng)用的?書254頁。11 8237的工作步驟是什么? 書253頁,例8-3第9章人機(jī)交互設(shè)備接口技術(shù)習(xí)題與思考題1. 試述非編碼鍵盤的工作原理。2. 用8255A設(shè)計(jì)一88的非編碼鍵盤,試畫出設(shè)計(jì)原理圖并編程。3. 試述PC/XT和PC/AT的鍵盤接口的工作原理。4. 試述鼠標(biāo)器的工作原理

29、。5. 簡(jiǎn)述LED顯示器的工作原理。6. 簡(jiǎn)述LED顯示器靜態(tài)驅(qū)動(dòng)原理。7. 簡(jiǎn)述LED顯示器動(dòng)態(tài)掃描原理。8. 簡(jiǎn)述CRT光柵掃描原理。9. 簡(jiǎn)述LCD的顯示原理。第10章 A/D與D/A轉(zhuǎn)換器接口習(xí)題與思考題1. D/A轉(zhuǎn)換器的性能指標(biāo)有哪些?各性能指標(biāo)的含義是什么?2. 簡(jiǎn)述D/A轉(zhuǎn)換器的工作原理。3. D/A轉(zhuǎn)換器和微處理器接口中的關(guān)鍵問題是什么?如何解決?4. 使用DAC0832設(shè)計(jì)一個(gè)產(chǎn)生三角波的接口電路并編寫產(chǎn)生三角波的程序,其變化范圍在010v之間變化。若要在-5+5v之間變化要采用什么措施實(shí)現(xiàn)。5. 設(shè)輸入模擬信號(hào)的最高有效頻率為5kHz,應(yīng)選用轉(zhuǎn)換時(shí)間為多少的A/D轉(zhuǎn)換器對(duì)

30、它進(jìn)行轉(zhuǎn)換?6. 試設(shè)計(jì)一個(gè)采用查詢法并用數(shù)據(jù)線選擇通道的CPU和ADC0809的接口電路,并編制程序使之把所采集的8個(gè)通道的數(shù)據(jù)送入給定的內(nèi)存區(qū)。7. 試設(shè)計(jì)一個(gè)采用固定延時(shí)等待法并每采集一個(gè)數(shù)據(jù)只需一條I/O指令的CPU和ADC0809的接口電路,并編制程序使之把所采集的8個(gè)通道的數(shù)據(jù)送入給定的內(nèi)存區(qū)。8. 試?yán)肁D1674設(shè)計(jì)一個(gè)數(shù)據(jù)采集系統(tǒng)。要求每隔200s采集一個(gè)數(shù)據(jù),每次啟動(dòng)采集數(shù)據(jù)時(shí)采集時(shí)間為20ms。假定時(shí)鐘頻率為5MHz,由一只開關(guān)手動(dòng)啟動(dòng)數(shù)據(jù)采集,數(shù)據(jù)的I/O傳送控制采用中斷控制,8255A的INTR信號(hào)接到8259A的IR2請(qǐng)求信號(hào)引腳。允許附加必要的門電路或單穩(wěn)態(tài)電路。試完成: 硬件設(shè)計(jì),畫出連接圖; 軟件設(shè)計(jì),包括主程序和中斷服務(wù)子程序。9. 設(shè)計(jì)AD1674與16位微機(jī)總線的接口電路。.

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!