歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

公司筆試面試-模電數(shù)電知識(shí)

  • 資源ID:10223943       資源大?。?span id="fqcrvfl" class="font-tahoma">421KB        全文頁(yè)數(shù):19頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說(shuō)明有答案則都視為沒有答案,請(qǐng)知曉。

公司筆試面試-模電數(shù)電知識(shí)

模擬電路1.基爾霍夫定理的內(nèi)容是什么?(仕蘭微電子)a.基爾霍夫電流定律:在電路的任一節(jié)點(diǎn),流入、流出該節(jié)點(diǎn)電流的代數(shù)和為零b.基爾霍夫電壓定律:在電路中的任一閉合電路,電壓的代數(shù)和為零。 2.平板電容公式(C=S/4kd)。3.三極管曲線特性。4.描述反饋電路的概念,列舉他們的應(yīng)用。反饋,就是在電子系統(tǒng)中,把放大電路中的輸出量(電流或電壓)的一部分或全部,通過(guò)一定形式的反饋取樣網(wǎng)絡(luò)并以一定的方式作用到輸入回路以影響放大電路輸入量的過(guò)程。包含反饋?zhàn)饔玫姆糯箅娐贩Q為反饋放大電路。反饋的類型有:電壓串聯(lián)負(fù)反饋、電流串聯(lián)負(fù)反饋、電壓并聯(lián)負(fù)反饋、電流并聯(lián)負(fù)反饋。負(fù)反饋對(duì)放大器性能有四種影響:a.降低放大倍數(shù) b.提高放大倍數(shù)的穩(wěn)定性,由于外界條件的變化(T,Vcc,器件老化等),放大倍數(shù)會(huì)變化,其相對(duì)變化量越小,則穩(wěn)定性越高。C.減小非線性失真和噪聲 d 改變了放大器的輸入電阻Ri和輸出電阻Ro 。對(duì)輸入電阻ri的影響:串聯(lián)負(fù)反饋使輸入電阻增加,并聯(lián)負(fù)反饋使輸入電阻減小。對(duì)輸出電阻ro的影響:電壓負(fù)反饋使輸出電阻減小,電流負(fù)反饋使輸出電阻增加。負(fù)反饋的應(yīng)用:電壓并聯(lián)負(fù)反饋,電流串聯(lián)負(fù)反饋,電壓串聯(lián)負(fù)反饋和電流并聯(lián)負(fù)反饋。電壓負(fù)反饋的特點(diǎn):電路的輸出電壓趨向于維持恒定。電流負(fù)反饋的特點(diǎn):電路的輸出電流趨向于維持恒定。引入負(fù)反饋的一般原則為:a. 為了穩(wěn)定放大電路的靜態(tài)工作點(diǎn),應(yīng)引入直流負(fù)反饋;為了改善放大電路的動(dòng)態(tài)性能,應(yīng)引入交流負(fù)反饋(在中頻段的極性)。b. 信號(hào)源內(nèi)阻較小或要求提高放大電路的輸入電阻時(shí),應(yīng)引入串聯(lián)負(fù)反饋;信號(hào)源內(nèi)阻較大或要求降低輸入電阻時(shí),應(yīng)引入并聯(lián)系反饋。c. 根據(jù)負(fù)載對(duì)放大電路輸出電量或輸出電阻的要求決定是引入電壓還是電流負(fù)反饋。若負(fù)載要求提供穩(wěn)定的信號(hào)電壓或輸出電阻要小,則應(yīng)引入電壓負(fù)反饋;若負(fù)載要求提供穩(wěn)定的信號(hào)電流或輸出電阻要大,則應(yīng)引入電流負(fù)反饋。d. 在需要進(jìn)行信號(hào)變換時(shí),應(yīng)根據(jù)四種類型的負(fù)反饋放大電路的功能選擇合適的組態(tài)。例如,要求實(shí)現(xiàn)電流電壓信號(hào)的轉(zhuǎn)換時(shí),應(yīng)在放大電路中引入電壓并聯(lián)負(fù)反饋等。5.有源濾波器和無(wú)源濾波器的區(qū)別無(wú)源濾波器:這種電路主要有無(wú)源元件R、L和C組成有源濾波器:集成運(yùn)放和R、C組成,具有不用電感、體積小、重量輕等優(yōu)點(diǎn)。集成運(yùn)放的開環(huán)電壓增益和輸入阻抗均很高,輸出電阻小,構(gòu)成有源濾波電路后還具有一定的電壓放大和緩沖作用。但集成運(yùn)放帶寬有限,所以目前的有源濾波電路的工作頻率難以做得很高。6.什么是負(fù)載 ?什么又是帶負(fù)載能力?把電能轉(zhuǎn)換成其他形式的能的裝置叫做負(fù)載。對(duì)于不同的負(fù)載,電路輸出特性(輸出電壓,輸出電流)幾乎不受影響,不會(huì)因?yàn)樨?fù)載的劇烈變化而變,這就是所謂的帶載能力7.什么是輸入電阻和輸出電阻 ?在獨(dú)立源不作用(電壓源短路,電流源開路)的情況下,由端口看入,電路可用一個(gè)電阻元件來(lái)等效。這個(gè)等效電阻稱為該電路的輸入電阻。從放大電路輸出端看進(jìn)去的等效內(nèi)阻稱為輸出電阻Ro。8. 電壓源、電流源是集成電路中經(jīng)常用到的模塊,請(qǐng)畫出你知道的線路結(jié)構(gòu),簡(jiǎn)單描述 其優(yōu)缺點(diǎn)。對(duì)于一個(gè)理想的電壓源(包括電源),內(nèi)阻應(yīng)該為0,或理想電流源的阻抗應(yīng)當(dāng)為無(wú)窮大。9.什么叫差模信號(hào)?什么叫共模信號(hào)?畫出差分電路結(jié)構(gòu)兩個(gè)大小相等、極性相反的一對(duì)信號(hào)稱為差模信號(hào)。差動(dòng)放大電路輸入差模信號(hào)(uil =-ui2)時(shí),稱為差模輸入。兩個(gè)大小相等、極性相同的一對(duì)信號(hào)稱為共模信號(hào)。差動(dòng)放大電路輸入共模信號(hào)(uil =ui2)時(shí),稱為共模輸入。在差動(dòng)放大器中,有用信號(hào)以差模形式輸入,干擾信號(hào)用共模形式輸入,那么干擾信號(hào)將被抑制的很小。共模抑制比: 10.怎樣理解阻抗匹配?阻抗匹配是指信號(hào)源或者傳輸線跟負(fù)載之間的一種合適的搭配方式。阻抗匹配分為低頻和高頻兩種情況討論。 低頻:當(dāng)負(fù)載電阻跟信號(hào)源內(nèi)阻相等時(shí),負(fù)載可獲得最大輸出功率,這就是我們常說(shuō)的阻抗匹配之一。對(duì)于純電阻電路,此結(jié)論同樣適用于低頻電路及高頻電路。當(dāng)交流電路中含有容性或感性阻抗時(shí),結(jié)論有所改變,就是需要信號(hào)源與負(fù)載阻抗的的實(shí)部相等,虛部互為相反數(shù),這叫做共扼匹配。在高頻電路中,如果傳輸線的特征阻抗跟負(fù)載阻抗不相等(即不匹配)時(shí),在負(fù)載端就會(huì)產(chǎn)生反射。為了不產(chǎn)生反射,負(fù)載阻抗跟傳輸線的特征阻抗應(yīng)該相等,這就是傳輸線的阻抗匹配。11. 偏置:在電路某點(diǎn)給一個(gè)參考分量,使電路能適應(yīng)工作需要。12. 畫電流偏置的產(chǎn)生電路,并解釋。偏置電路:以常用的共射放大電路說(shuō)吧,主流是從發(fā)射極到集電極的IC,偏流就是從發(fā)射極到基極的IB。相對(duì)與主電路而言,為基極提供電流的電路就是所謂的偏置電路。偏置電路往往有若干元件,其中有一重要電阻,往往要調(diào)整阻值,以使集電極電流在設(shè)計(jì)規(guī)范內(nèi)。這要調(diào)整的電阻就是偏置電阻。13. 偏置電阻:在穩(wěn)態(tài)時(shí)(無(wú)信號(hào))通過(guò)電阻為電路提供或泄放一定的電壓或電流,使電路滿足工作需求,或改善性能。14. 什么是電壓放大?什么是電流放大? 什么是功率放大?電壓放大就是只考慮輸出電壓和輸入電壓的關(guān)系。比如說(shuō)有的信號(hào)電壓低,需要放大后才能被模數(shù)轉(zhuǎn)換電路識(shí)別,這時(shí)就只需做電壓放大。 電流放大就是只考慮輸出電流于輸入電流的關(guān)系。比如說(shuō),對(duì)于一個(gè)uA級(jí)的信號(hào),就需要放大后才能驅(qū)動(dòng)一些儀器進(jìn)行識(shí)別(如生物電子),就需要做電流放大。功率放大就是考慮輸出功率和輸入功率的關(guān)系。 其實(shí)實(shí)際上,對(duì)于任何以上放大,最后電路中都還是有電壓,電流,功率放大的指標(biāo)在,叫什么放大,只是重點(diǎn)突出電路的作用而已。15. 推挽結(jié)構(gòu)的實(shí)質(zhì)是什么?一般是指兩個(gè)三極管分別受兩互補(bǔ)信號(hào)的控制,總是在一個(gè)三極管導(dǎo)通的時(shí)候另一個(gè)截止.要實(shí)現(xiàn)線與需要用OC(open collector)門電路 .如果輸出級(jí)的有兩個(gè)三極管,始終處于一個(gè)導(dǎo)通、一個(gè)截止的狀態(tài),也就是兩個(gè)三級(jí)管推挽相連,這樣的電路結(jié)構(gòu)稱為推拉式電路或圖騰柱(Totem-pole)輸出電路16. RC振蕩器的構(gòu)成和工作原理由放大器和正反饋網(wǎng)絡(luò)兩部分構(gòu)成。反饋電路由三節(jié)RC移相網(wǎng)絡(luò)構(gòu)成(圖3),每節(jié)移相不超過(guò)90,對(duì)某一頻率共可移相180,再加上單管放大電路的反相作用即可構(gòu)成正反饋,產(chǎn)生振蕩。移相振蕩器電路簡(jiǎn)單,適于輕便型測(cè)試設(shè)備和遙控設(shè)備使用,但輸出波形差,頻率難于調(diào)整,幅度也不穩(wěn)定。17. 電路的諧振如果外加交流電源的頻率和L-C回路的固有頻率相同時(shí),回路中產(chǎn)生的電流最大,回路L中的磁場(chǎng)能和C中的電場(chǎng)能恰好自成系統(tǒng),在電路內(nèi)部進(jìn)行交換,最大限度的從電源吸取能量,而不會(huì)有能量返回電源,這就叫諧振。18.描述CMOS電路中閂鎖效應(yīng)產(chǎn)生的過(guò)程及最后的結(jié)果?Latch-up 閂鎖效應(yīng),又稱寄生PNPN效應(yīng)或可控硅整流器( SCR, Silicon Controlled Rectifier )效應(yīng)。在整體硅的CMOS管下,不同極性攙雜的區(qū)域間都會(huì)構(gòu)成P-N結(jié),而兩個(gè)靠近的反方向的P-N結(jié)就構(gòu)成了一個(gè)雙極型的晶體三極管。因此CMOS管的下面會(huì)構(gòu)成多個(gè)三極管,這些三極管自身就可能構(gòu)成一個(gè)電路。這就是MOS管的寄生三極管效應(yīng)。如果電路偶爾中出現(xiàn)了能夠使三極管開通的條件,這個(gè)寄生的電路就會(huì)極大的影響正常電路的運(yùn)作,會(huì)使原本的MOS電路承受比正常工作大得多的電流,可能使電路迅速的燒毀。Latch-up狀態(tài)下器件在電源與地之間形成短路,造成大電流、EOS(電過(guò)載)和器件損壞。19. 選擇電阻時(shí)要考慮什么?考慮電阻的 阻值(最大,最小) 熔點(diǎn) 是否方便安裝20. 電路的諧振如果外加交流電源的頻率和L-C回路的固有頻率相同時(shí),回路中產(chǎn)生的電流最大,回路L中的磁場(chǎng)能和C中的電場(chǎng)能恰好自成系統(tǒng),在電路內(nèi)部進(jìn)行交換,最大限度的從電源吸取能量,而不會(huì)有能量返回電源,這就叫諧振。19. 旁路電容 可將混有高頻電流和低頻電流的交流電中的高頻成分泄露掉的電容,稱做“旁路電容”。20. 戴維南定理:一個(gè)含獨(dú)立源、線性電阻和受控源的二端電路,對(duì)其兩個(gè)端子來(lái)說(shuō)都可等效為一個(gè)理想電壓源串聯(lián)內(nèi)阻的模型。其理想電壓源的數(shù)值為有源二端電路的兩個(gè)端子的開路電壓,串聯(lián)的內(nèi)阻為內(nèi)部所有獨(dú)立源等于零時(shí)兩端子間的等效電阻。21.無(wú)源器件在模擬和數(shù)字電路中加以信號(hào)不會(huì)改變自已本身的基本特性.如電阻. 有源器件在模擬和數(shù)字電路中加以信號(hào)可以改變自已本身的基本特性.如三極管.22. 旁路電容 可將混有高頻電流和低頻電流的交流電中的高頻成分泄露掉的電容,稱做“旁路電容”。23.場(chǎng)效應(yīng)和晶體管比較:a.在環(huán)境條件變化大的場(chǎng)合,采用場(chǎng)效應(yīng)管比較合適。b.場(chǎng)效應(yīng)管常用來(lái)做前置放大器,以提高儀器設(shè)備的輸入阻抗,降低噪聲等。c.場(chǎng)效應(yīng)管放大能力比晶體管低。d.工藝簡(jiǎn)單,占用芯片面積小,適宜大規(guī)模集成電路。在脈沖數(shù)字電路中獲得更廣泛的應(yīng)用。24.基本放大電路的組成原則:a.發(fā)射結(jié)正偏,集電結(jié)反偏。b.輸入回路的接法應(yīng)該使輸入信號(hào)盡量不損失地加載到放大器的輸入端。c.輸出回路的接法應(yīng)該使輸出信號(hào)盡可能地傳送到負(fù)載上。25.實(shí)現(xiàn)放大的條件晶體管必須偏置在放大區(qū)。發(fā)射結(jié)正偏,集電結(jié)反偏。正確設(shè)置靜態(tài)工作點(diǎn),使整個(gè)波形處于放大區(qū)。輸入回路將變化的電壓轉(zhuǎn)化成變化的基極電流。輸出回路將變化的集電極電流轉(zhuǎn)化成變化的集電極電壓,經(jīng)電容濾波只輸出交流信號(hào)。26.共射,共基和共集放大電路圖27.靜態(tài):放大電路不加輸入信號(hào),電路中各處的電壓、電流都是固定不變的直流量,這時(shí)電路處于直流工作狀態(tài),簡(jiǎn)稱靜態(tài)。直流通路:電容開路,電感短路,信號(hào)源短路,保留其內(nèi)阻交流通路:電容短路,電感開路28.功放要求:a.輸出功率盡可能大。b.高效率 c.非線形失真小 d.晶體管的散熱和保護(hù)29.甲類功放,乙類互補(bǔ)對(duì)稱功放和甲乙類互補(bǔ)對(duì)稱功放特點(diǎn)和電路圖。恒流源的作用1. 恒流源相當(dāng)于阻值很大的電阻。30.頻率補(bǔ)償所謂頻率補(bǔ)償,就是指提高或降低某一特定頻率的信號(hào)的強(qiáng)度,用來(lái)彌補(bǔ)信號(hào)處理過(guò)程中產(chǎn)生的該頻率的減弱或增強(qiáng)。常用的有負(fù)反饋補(bǔ)償、發(fā)射極電容補(bǔ)償、電感補(bǔ)償?shù)取?1.虛短:集成運(yùn)放的兩個(gè)輸入端之間的電壓通常接近于零,若把它理想化,則看做零,但不是短路,故稱“虛短”。虛斷:集成運(yùn)放的兩個(gè)輸入端幾乎不取用電流,如果把他理想化,則看作電流為零,但不是斷開,故稱“虛斷”32.基本放大電路種類(電壓放大器,電流放大器,互導(dǎo)放大器和互阻放大器),優(yōu)缺點(diǎn),特別是廣泛采用差分結(jié)構(gòu)的原因。放大電路的作用:放大電路是電子技術(shù)中廣泛使用的電路之一,其作用是將微弱的輸入信號(hào)(電壓、電流、功率)不失真地放大到負(fù)載所需要的數(shù)值。放大電路種類:(1)電壓放大器:輸入信號(hào)很小,要求獲得不失真的較大的輸出壓,也稱小信號(hào)放大器;(2)功率放大器:輸入信號(hào)較大,要求放大器輸出足夠的功率,也稱大信號(hào)放大器。差分電路是具有這樣一種功能的電路。該電路的輸入端是兩個(gè)信號(hào)的輸入,這兩個(gè)信號(hào)的差值,為電路有效輸入信號(hào),電路的輸出是對(duì)這兩個(gè)輸入信號(hào)之差的放大。設(shè)想這樣一種情景,如果存在干擾信號(hào),會(huì)對(duì)兩個(gè)輸入信號(hào)產(chǎn)生相同的干擾,通過(guò)二者之差,干擾信號(hào)的有效輸入為零,這就達(dá)到了抗共模干擾的目的。33.鎖相環(huán)有哪幾部分組成?鎖相,顧名思義,就是將相位鎖住,把頻率鎖定在一個(gè)固定值上。鎖相環(huán),就是將相位鎖定的回路。鎖相環(huán)由相位檢測(cè)器 PD + 分頻器 + 回路濾波器 + 壓控振蕩器 VCO,等組成。鎖相環(huán)的工作原理:1、壓控振蕩器的輸出經(jīng)過(guò)采集并分頻;2、和基準(zhǔn)信號(hào)同時(shí)輸入鑒相器;3、鑒相器通過(guò)比較上述兩個(gè)信號(hào)的頻率差,然后輸出一個(gè)直流脈沖電壓;4、控制VCO,使它的頻率改變;5、這樣經(jīng)過(guò)一個(gè)很短的時(shí)間,VCO 的輸出就會(huì)穩(wěn)定于某一期望值。鎖相環(huán)是一種相位負(fù)反饋系統(tǒng),它利用環(huán)路的窄帶跟蹤與同步特性將鑒相器一端VCO的輸出相位與另一端晶振參考的相位保持同步,實(shí)現(xiàn)鎖定輸出頻率的功能,同時(shí)可以得到和參考源相同的頻率穩(wěn)定度。一個(gè)典型的頻率合成器原理框圖如圖所示。設(shè)晶振的輸出頻率為fr,VCO輸出頻 率為fo,則它們滿足公式:34.什么是零點(diǎn)漂移?怎樣抑制零點(diǎn)漂移?零點(diǎn)漂移,就是指放大電路的輸入端短路時(shí),輸出端還有緩慢變化的電壓產(chǎn)生,即輸出電壓偏離原來(lái)的起始點(diǎn)而上下漂動(dòng)。抑制零點(diǎn)漂移的方法一般有:采用恒溫措施;補(bǔ)償法(采用熱敏元件來(lái)抵消放大管的變化或采用特性相同的放大管構(gòu)成差分放大電路);采用直流負(fù)反饋穩(wěn)定靜態(tài)工作點(diǎn);在各級(jí)之間采用阻容耦合或者采用特殊設(shè)計(jì)的調(diào)制解調(diào)式直流放大器等。35.給出一個(gè)差分運(yùn)放,如何相位補(bǔ)償,并畫補(bǔ)償后的波特圖一般對(duì)于兩級(jí)或者多級(jí)的運(yùn)放才需要補(bǔ)償。一般采用密勒補(bǔ)償。例如兩級(jí)的全差分運(yùn)放和兩級(jí)的雙端輸入單端輸出的運(yùn)放,都可以采用密勒補(bǔ)償,在第二級(jí)(輸出級(jí))進(jìn)行補(bǔ)償。區(qū)別在于:對(duì)于全差分運(yùn)放,兩個(gè)輸出級(jí)都要進(jìn)行補(bǔ)償,而對(duì)于單端輸出的兩級(jí)運(yùn)放,只要一個(gè)密勒補(bǔ)償。36.頻率響應(yīng),如:怎么才算是穩(wěn)定的,如何改變頻率響應(yīng)曲線的幾個(gè)方法頻率響應(yīng)通常亦稱頻率特性,頻率響應(yīng)或頻率特性是衡量放大電路對(duì)不同頻率輸入信號(hào)適應(yīng)能力的一項(xiàng)技術(shù)指標(biāo)。實(shí)質(zhì)上,頻率響應(yīng)就是指放大器的增益與頻率的關(guān)系。通常講一個(gè)好的放大器,不但要有足夠的放大倍數(shù),而且要有良好的保真性能,即:放大器的非線性失真要小,放大器的頻率響應(yīng)要好?!昂谩保褐阜糯笃鲗?duì)不同頻率的信號(hào)要有同等的放大。之所以放大器具有頻率響應(yīng)問題,原因有二:一是實(shí)際放大的信號(hào)頻率不是單一的;二是放大器具有電抗元件和電抗因素。由于放大電路中存在電抗元件(如管子的極間電容,電路的負(fù)載電容、分布電容、耦合電容、射極旁路電容等),使得放大器可能對(duì)不同頻率信號(hào)分量的放大倍數(shù)和相移不同。如放大電路對(duì)不同頻率信號(hào)的幅值放大不同,就會(huì)引起幅度失真;如放大電路對(duì)不同頻率信號(hào)產(chǎn)生的相移不同就會(huì)引起相位失真。幅度失真和相位失真總稱為頻率失真,由于此失真是由電路的線性電抗元件(電阻、電容、電感等)引起的,故不稱為線性失真。為實(shí)現(xiàn)信號(hào)不失真放大所以要需研究放大器的頻率響應(yīng)。37.畫出由運(yùn)放構(gòu)成加法、減法、微分、積分運(yùn)算的電路原理圖。并畫出一個(gè)晶體管級(jí)的運(yùn)放電路。38. SRAM:靜態(tài)RAM;DRAM:動(dòng)態(tài)RAM;SSRAM:Synchronous Static Random Access Memory同步靜態(tài)隨機(jī)訪問存儲(chǔ)器。它的一種類型的SRAM。SSRAM的所有訪問都在時(shí)鐘的上升/下降沿啟動(dòng)。地址、數(shù)據(jù)輸入和其它控制信號(hào)均于時(shí)鐘信號(hào)相關(guān)。這一點(diǎn)與異步SRAM不同,異步SRAM的訪問獨(dú)立于時(shí)鐘,數(shù)據(jù)輸入和輸出都由地址的變化控制。SDRAM:Synchronous DRAM同步動(dòng)態(tài)隨機(jī)存儲(chǔ)器n名詞IRQ,BIOS,USB,VHDL,SDR SDR: Single Data Rate IRQ: Interrupt ReQuest BIOS: Basic Input Output SystemUSB: Universal Serial Bus VHDL: VHIC Hardware Description Language39.壓控振蕩器的英文縮寫(VCO)。 名詞解釋,比如PCI、ECC、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(壓控振蕩器) RAM (動(dòng)態(tài)隨機(jī)存儲(chǔ)器),F(xiàn)IR IIR DFT(離散傅立葉變換)或者是中文的,比如:a.量化誤差 b.直方圖 c.白平衡 40.LC正弦波振蕩器有哪幾種三點(diǎn)式振蕩電路,分別畫出其原理圖。電感三點(diǎn)式振蕩器和電容三點(diǎn)式振蕩器。41. 什么是三態(tài)與非門(TSL)?答:三態(tài)與非門有三種狀態(tài):(1)門導(dǎo)通,輸出低電平。(2)門截止,輸出高電平。(3)禁止?fàn)顟B(tài)或稱高阻狀態(tài)、懸浮狀態(tài),此為第三態(tài)。三態(tài)門的一個(gè)重要用途,就是可向同一條導(dǎo)線(或稱總線Y)上輪流傳送幾組不同的數(shù)據(jù)或控制信號(hào),如圖2-17所示。當(dāng)E1、E2、E3輪流接低電平時(shí),Al、Bl、A2、B2、A3、B3三組數(shù)據(jù)輪流按與非關(guān)系傳送到總線Y上;而當(dāng)各門控制端E1、E2、E3為高電平時(shí),門為禁止?fàn)顟B(tài),相當(dāng)于與總線Y斷開,數(shù)據(jù)A、B不被傳送。42.場(chǎng)效應(yīng)管是電流、還是電壓控制器件? 電壓控制器件43.晶體管工作在放大區(qū),發(fā)射結(jié)、集電結(jié)怎么偏置的 發(fā)射結(jié) 集電結(jié) 放大區(qū) 正偏 反偏 飽和區(qū) 正偏 正偏 截至區(qū) 反偏 反偏 44.差分放大電路的功能: 放大兩個(gè)輸入信號(hào)之差45. 在CMOS電路中,要有一個(gè)單管作為開關(guān)管精確傳遞模擬低電平,這個(gè)單管你會(huì)用P管 還是N管,為什么?46.什么叫做OTP片、掩膜片,兩者的區(qū)別何在?(仕蘭微面試題目) OTP means one time program,一次性編程MTP means multi time program,多次性編程OTP(One Time Program)是MCU的一種存儲(chǔ)器類型MCU按其存儲(chǔ)器類型可分為MASK(掩模)ROM、OTP(一次性可編程)ROM、FLASHROM等類型。MASKROM的MCU價(jià)格便宜,但程序在出廠時(shí)已經(jīng)固化,適合程序固定不變的應(yīng)用場(chǎng)合;FALSHROM的MCU程序可以反復(fù)擦寫,靈活性很強(qiáng),但價(jià)格較高,適合對(duì)價(jià)格不敏感的應(yīng)用場(chǎng)合或做開發(fā)用途;OTP ROM的MCU價(jià)格介于前兩者之間,同時(shí)又擁有一次性可編程能力,適合既要求一定靈活性,又要求低成本的應(yīng)用場(chǎng)合,尤其是功能不斷翻新、需要迅速量產(chǎn)的電子產(chǎn)品。47. 什么是集電極開路與非門(OC門)?答:OC門和普通的TTL與非門所不同的是,它用一個(gè)外接電阻RL來(lái)代替由VT3、VT4組成的有源負(fù)載,實(shí)現(xiàn)與非門邏輯功能, OC門邏輯功能靈活,應(yīng)用廣泛。48. 什么是TTL集成電路?答:TTL集成電路是一種單片集成電路。在這種集成電路中,一個(gè)邏輯電路的所有元器件和連線都制作在同一塊半導(dǎo)體基片上。由于這種數(shù)字集成電路的輸人端和輸出端的電路結(jié)構(gòu)形式采用了晶體管,所以一般稱為晶體管一晶體管(Transistor-tranSiS-tor Logic)邏輯電路,簡(jiǎn)稱TTL電路。49. 射極跟隨器射極跟隨器(又稱射極輸出器,簡(jiǎn)稱射隨器或跟隨器)是一種共集接法的電路,它從基極輸入信號(hào),從射極輸出信號(hào)。它具有高輸入阻抗、低輸出阻抗、輸入信號(hào)與輸出信號(hào)相位相同的特點(diǎn)。50. 戴維南定理:一個(gè)含獨(dú)立源、線性電阻和受控源的二端電路,對(duì)其兩個(gè)端子來(lái)說(shuō)都可等效為一個(gè)理想電壓源串聯(lián)內(nèi)阻的模型。其理想電壓源的數(shù)值為有源二端電路的兩個(gè)端子的開路電壓,串聯(lián)的內(nèi)阻為內(nèi)部所有獨(dú)立源等于零時(shí)兩端子間的等效電阻。51窄溝道效應(yīng):由于邊緣場(chǎng)的影響,溝道區(qū)耗盡層在溝道寬度兩側(cè)向場(chǎng)區(qū)有一定的擴(kuò)張。當(dāng)溝道寬度較大時(shí),耗盡層向兩側(cè)的擴(kuò)展部分可以忽略;但是溝道變窄時(shí),邊緣場(chǎng)造成的耗盡層擴(kuò)展變得不可忽略,這樣,耗盡層電荷量比原來(lái)計(jì)算的要大,這就產(chǎn)生了窄溝道效應(yīng)52.MOS電路的特點(diǎn):優(yōu)點(diǎn)1. 工藝簡(jiǎn)單,集成度高。2. 是電壓控制元件,靜態(tài)功耗小。3.允許電源電壓范圍寬(318V)。4.扇出系數(shù)大,抗噪聲容限大。缺點(diǎn):工作速度比TTL低 。53給出一個(gè)簡(jiǎn)單電路,讓你分析輸出電壓的特性(就是個(gè)積分電路),并求輸出端某點(diǎn) 的 rise/fall時(shí)間。54. 電阻R和電容C串聯(lián),輸入電壓為R和C之間的電壓,輸出電壓分別為C上電壓和R上電 壓,要求制這兩種電路輸入電壓的頻譜,判斷這兩種電路何為高通濾波器,何為低通濾 波器。當(dāng)RC<<T時(shí),給出輸入電壓波形圖,繪制兩種電路的輸出波形圖。55. 設(shè)計(jì)一個(gè)線形電源。要求:輸入220V市電,輸出12V的直流電壓,輸出功率和效率不做要求,電路越簡(jiǎn)單越好。56半導(dǎo)體工藝中,摻雜有哪幾種方式?(仕蘭微面試題目)根據(jù)摻入的雜質(zhì)不同,雜質(zhì)半導(dǎo)體可以分為N型和P型兩大類。 N型半導(dǎo)體中摻入的雜質(zhì)為磷等五價(jià)元素,磷原子在取代原晶體結(jié)構(gòu)中的原子并構(gòu)成共價(jià)鍵時(shí),多余的第五個(gè)價(jià)電子很容易擺脫磷原子核的束縛而成為自由電子,于是半導(dǎo)體中的自由電子數(shù)目大量增加,自由電子成為多數(shù)載流子,空穴則成為少數(shù)載流子。P型半導(dǎo)體中摻入的雜質(zhì)為硼或其他三價(jià)元素,硼原子在取代原晶體結(jié)構(gòu)中的原子并構(gòu)成共價(jià)鍵時(shí),將因缺少一個(gè)價(jià)電子而形成一個(gè)空穴,于是半導(dǎo)體中的空穴數(shù)目大量增加,空穴成為多數(shù)載流子,而自由電子則成為少數(shù)載流子。數(shù)字電路1.組合邏輯:輸出只是當(dāng)前輸入邏輯電平的函數(shù)(有延時(shí)),與電路的原始狀態(tài)無(wú)關(guān)的邏輯電路。(無(wú)記憶)由與、或、非門組成的網(wǎng)絡(luò),常見的有多路器,數(shù)據(jù)通路開關(guān),加法器,乘法器等。時(shí)序邏輯:輸出不只是當(dāng)前輸入邏輯電平的函數(shù),還與電路目前所處的狀態(tài)有關(guān)的邏輯電路。(有記憶)由多個(gè)觸發(fā)器和多個(gè)組合邏輯塊組成的網(wǎng)絡(luò),常見的有計(jì)數(shù)器,運(yùn)算控制邏輯,指令分析和操作控制邏輯。2.流水線設(shè)計(jì):把規(guī)模較大,層次較多的組合邏輯分為幾個(gè)級(jí),在每一級(jí)插入寄存器組并暫寸中間數(shù)據(jù)。在性能上的提高是以消耗較多的寄存器資源為代價(jià)的。3.同步時(shí)序邏輯:表示狀態(tài)的寄存器組的值只可能在唯一確定的觸發(fā)條件發(fā)生時(shí)改變,只能有時(shí)鐘的正跳沿或負(fù)跳沿出發(fā)的狀態(tài)機(jī)就是一例。異步時(shí)序邏輯:觸發(fā)條件有多個(gè)控制因素組成,任何一個(gè)因素的跳變都可以引起觸發(fā)。同步電路和異步電路的區(qū)別是什么?同步電路:存儲(chǔ)電路中所有觸發(fā)器的時(shí)鐘輸入端都接同一個(gè)時(shí)鐘脈沖源,因而所有觸發(fā)器的狀態(tài)的變化都與所加的時(shí)鐘脈沖信號(hào)同步。異步電路:電路沒有統(tǒng)一的時(shí)鐘,有些觸發(fā)器的時(shí)鐘輸入端與時(shí)鐘脈沖源相連,這有這些觸發(fā)器的狀態(tài)變化與時(shí)鐘脈沖同步,而其他的觸發(fā)器的狀態(tài)變化不與時(shí)鐘脈沖同步。4什么是Setup 和Holdup時(shí)間?(漢王筆試) 建立時(shí)間()是指在觸發(fā)器的時(shí)鐘上升沿到來(lái)以前,數(shù)據(jù)穩(wěn)定不變的時(shí)間。如果建立時(shí)間不夠,數(shù)據(jù)將不能在這個(gè)時(shí)鐘上升沿被打入觸發(fā)器;保持時(shí)間()是指在觸發(fā)器的時(shí)鐘上升沿到來(lái)以后,數(shù)據(jù)穩(wěn)定不變的時(shí)間。如果保持時(shí)間不夠,數(shù)據(jù)同樣不能被打入觸發(fā)器。數(shù)據(jù)穩(wěn)定傳輸必須滿足建立時(shí)間和保持時(shí)間的要求,否則電路就會(huì)出現(xiàn)邏輯錯(cuò)誤。在同步電路設(shè)計(jì)中一般采用D觸發(fā)器,異步電路設(shè)計(jì)中一般采用Latch5.模數(shù)轉(zhuǎn)換器(ADC)模數(shù)轉(zhuǎn)換指的是將輸入的模擬量轉(zhuǎn)換為數(shù)字量輸出,實(shí)現(xiàn)這種轉(zhuǎn)換功能的電路稱為模數(shù)轉(zhuǎn)換器,簡(jiǎn)稱ADC(Analog Digital Converter)。ADC按工作原理的不同可分為直接ADC和間接ADC。直接ADC有并聯(lián)比較型和逐次漸進(jìn)型等,直接ADC的轉(zhuǎn)換速度快。間接ADC的轉(zhuǎn)換速度慢,如雙積分型ADC。并聯(lián)比較型ADC、逐次漸進(jìn)型ADC和雙積分型ADC各有特點(diǎn),應(yīng)用在不同的場(chǎng)合。高速且精度要求不高,可以選用并聯(lián)比較型ADC;低速、精度高且抗干擾強(qiáng)的場(chǎng)合,可以選用雙積分型ADC;逐次漸進(jìn)型ADC兼顧了兩者的優(yōu)點(diǎn),速度較快、精度較高、價(jià)格適中,應(yīng)用較為普遍。AD轉(zhuǎn)換要經(jīng)過(guò)采樣、保持、量化和編碼等過(guò)程。采樣-保持電路對(duì)輸入模擬信號(hào)進(jìn)行采樣并保持,量化是對(duì)采樣信號(hào)進(jìn)行分級(jí),編碼則將分級(jí)后的信號(hào)轉(zhuǎn)換成二進(jìn)制代碼。對(duì)模擬信號(hào)采樣時(shí),必須滿足采樣定理。6.數(shù)模轉(zhuǎn)換器常見的數(shù)-模轉(zhuǎn)換電路(DAC)有多種類型:權(quán)電阻網(wǎng)絡(luò)DAC、倒T形電阻網(wǎng)絡(luò)DAC、權(quán)電流網(wǎng)絡(luò)DAC等。數(shù)模轉(zhuǎn)換器將輸入的二進(jìn)制數(shù)字量轉(zhuǎn)換成與之成正比的模擬量;模數(shù)轉(zhuǎn)換器將輸入的模擬電壓轉(zhuǎn)換成與之成正比的二進(jìn)制數(shù)字量A/D轉(zhuǎn)換=模擬/數(shù)字轉(zhuǎn)換,意思是模擬訊號(hào)轉(zhuǎn)換為數(shù)字訊號(hào);D/A轉(zhuǎn)換=數(shù)字/模擬轉(zhuǎn)換,意思是數(shù)字訊號(hào)轉(zhuǎn)換為模擬訊號(hào);ADC=模擬/數(shù)字轉(zhuǎn)換器,DAC=數(shù)字/模擬轉(zhuǎn)換器7.A/D電路組成、工作原理。ADC電路通常由兩部分組成,它們是:采樣、保持電路和量化、編碼電路。其中量化、編碼電路是最核心的部件,任何ADC轉(zhuǎn)換電路都必須包含這種電路。ADC電路的形式很多,通??梢圆閮深悾洪g接法:它是將采樣-保持的模擬信號(hào)先轉(zhuǎn)換成與模擬量成正比的時(shí)間或頻率,然后再把它轉(zhuǎn)換位數(shù)字量。這種通常是采用時(shí)鐘脈沖計(jì)數(shù)器,它又被稱為計(jì)數(shù)器式。它的工作特點(diǎn)是:工作速度低,轉(zhuǎn)換精度高,抗干擾能力強(qiáng)。直接法:通過(guò)基準(zhǔn)電壓與采樣-保持信號(hào)進(jìn)行比較,從而轉(zhuǎn)換位數(shù)字量。它的工作特點(diǎn)是:工作速度高,轉(zhuǎn)換精度容易保證。8.組合電路與時(shí)序電路區(qū)別組合邏輯電路是具有一組輸出和一組輸入的非記憶性邏輯電路,它的基本特點(diǎn)是任何時(shí)刻的輸出信號(hào)狀態(tài)僅取決于該時(shí)刻各個(gè)輸入信號(hào)狀態(tài)的組合,而與電路在輸入信號(hào)作用前的狀態(tài)無(wú)關(guān)。組合電路是由門電路組成的,但不包含存儲(chǔ)信號(hào)的記憶單元,輸出與輸入間無(wú)反饋通路,信號(hào)是單向傳輸,且存在傳輸延遲時(shí)間。組合邏輯電路的功能描述方法有真值表、邏輯表達(dá)式、邏輯圖、卡諾圖和波形圖等。時(shí)序邏輯電路與組合邏輯電路不同,在邏輯功能及其描述方法、電路結(jié)構(gòu)、分析方法和設(shè)計(jì)方法上都有區(qū)別于組合電路的明顯特點(diǎn)。在時(shí)序邏輯電路中,任意時(shí)刻的輸出信號(hào)不僅和當(dāng)時(shí)的輸入信號(hào)有關(guān),而且還與電路原來(lái)的狀態(tài)有關(guān),這是時(shí)序邏輯電路在邏輯功能上的特點(diǎn)。因而時(shí)序邏輯電路必然包含存儲(chǔ)記憶單元電路。描述時(shí)序電路邏輯功能的方法有:三個(gè)方程(輸出方程、驅(qū)動(dòng)方程(或激勵(lì)函數(shù))、狀態(tài)方程)、狀態(tài)轉(zhuǎn)換表、狀態(tài)轉(zhuǎn)換圖和時(shí)序圖等。9.什么是"線與"邏輯,要實(shí)現(xiàn)它,在硬件特性上有什么具體要求?(漢王筆試) 線與邏輯是兩個(gè)輸出信號(hào)相連可以實(shí)現(xiàn)與的功能。在硬件上,要用oc門來(lái)實(shí)現(xiàn),由于不用 oc門可能使灌電流過(guò)大,而燒壞邏輯門。同時(shí)在輸出端口應(yīng)加一個(gè)上拉電阻。 10.你知道那些常用邏輯電平?TTL與COMS電平可以直接互連嗎?(漢王筆試) 常用邏輯電平:12V,5V,3.3V;TTL和CMOS不可以直接互連,由于TTL是在0.3-3.6V之間,而CMOS則是有在12V的有在5V的。CMOS輸出接到TTL是可以直接互連。TTL接到CMOS需要在輸出端口加一上拉電阻接到5V或者12V。CMOS門的VT= 0.5VDD ,TTL門的VT一般在1.01.4V。CMOS門輸出:高電平為VOH= VDD ,低電平為VOL=0V。TTL門輸出:高電平為VOH=3.6V, 低電平為VOL=0.3V。 文章引用自: 11.如何解決亞穩(wěn)態(tài)。(飛利浦大唐筆試) 亞穩(wěn)態(tài)是指觸發(fā)器無(wú)法在某個(gè)規(guī)定時(shí)間段內(nèi)達(dá)到一個(gè)可確認(rèn)的狀態(tài)。當(dāng)一個(gè)觸發(fā)器進(jìn)入亞穩(wěn)態(tài)時(shí),既無(wú)法預(yù)測(cè)該單元的輸出電平,也無(wú)法預(yù)測(cè)何時(shí)輸出才能穩(wěn)定在某個(gè)正確的電平上。在這個(gè)穩(wěn)定期間,觸發(fā)器輸出一些中間級(jí)電平,或者可能處于振蕩狀態(tài),并且這種無(wú)用的輸出電平可以沿信號(hào)通道上的各個(gè)觸發(fā)器級(jí)聯(lián)式傳播下去。 13. 設(shè)計(jì)一個(gè)一位的全加器(輸入加數(shù)A,B和低位的進(jìn)位CI,輸出Y和向高位進(jìn)位CO)要求使用器件為與門,或門,非門,異或門和同或門。14.MOORE 與 MEELEY狀態(tài)機(jī)的特征。(南山之橋) 兩種典型的狀態(tài)機(jī)是摩爾(Moore)狀態(tài)機(jī)和米立(Mealy)狀態(tài)機(jī)。摩爾有限狀態(tài)機(jī)輸出只與當(dāng)前狀態(tài)有關(guān),與輸入信號(hào)的當(dāng)前值無(wú)關(guān),是嚴(yán)格的現(xiàn)態(tài)函數(shù)。在時(shí)鐘脈沖的有效邊沿作用后的有限個(gè)門延后,輸出達(dá)到穩(wěn)定值。即使在時(shí)鐘周期內(nèi)輸入信號(hào)發(fā)生變化,輸出也會(huì)保持穩(wěn)定不變。從時(shí)序上看,Moore狀態(tài)機(jī)屬于同步輸出狀態(tài)機(jī)。Moore有限狀態(tài)機(jī)最重要的特點(diǎn)就是將輸入與輸出信號(hào)隔離開來(lái)。Mealy狀態(tài)機(jī)的輸出是現(xiàn)態(tài)和所有輸入的函數(shù),隨輸入變化而隨時(shí)發(fā)生變化。從時(shí)序上看,Mealy狀態(tài)機(jī)屬于異步輸出狀態(tài)機(jī),它不依賴于時(shí)鐘。15. 什么是競(jìng)爭(zhēng)與冒險(xiǎn)?怎樣判斷?如何消除?在組合邏輯中,由于門的輸入信號(hào)通路中經(jīng)過(guò)了不同的延時(shí),導(dǎo)致到達(dá)該門的時(shí)間不一致叫競(jìng)爭(zhēng)。產(chǎn)生毛刺叫冒險(xiǎn)。如果布爾式中有相反的信號(hào)則可能產(chǎn)生競(jìng)爭(zhēng)和冒險(xiǎn)現(xiàn)象。解決方法:一是添加布爾式的消去項(xiàng),二是在芯片外部加電容16.給了reg的setup,hold時(shí)間,求中間組合邏輯的delay范圍。(飛利浦大唐筆試) Delay < period - setup ? hold Delay < period - setup hold17.時(shí)鐘周期為T,觸發(fā)器D1的建立時(shí)間最大為T1max,最小為T1min。組合邏輯電路最大延遲為T2max,最小為T2min。問,觸發(fā)器D2的建立時(shí)間T3和保持時(shí)間應(yīng)滿足什么條件。(華為) T3setup>T+T2max,T3hold>T1min+T2min18.給出某個(gè)一般時(shí)序電路的圖,有Tsetup,Tdelay,Tck->q,還有 clock的delay,寫出決定最大時(shí)鐘的因素,同時(shí)給出表達(dá)式。(威盛VIA 2003.11.06 上海筆試試題) 19.說(shuō)說(shuō)靜態(tài)、動(dòng)態(tài)時(shí)序模擬的優(yōu)缺點(diǎn)。(威盛VIA 2003.11.06 上海筆試試題) T+Tclkdealy>Tsetup+Tco+Tdelay; Thold>Tclkdelay+Tco+Tdelay;20.一個(gè)四級(jí)的Mux,其中第二級(jí)信號(hào)為關(guān)鍵信號(hào)如何改善timing。(威盛VIA2003.11.06 上海筆試試題) 21.靜態(tài)和動(dòng)態(tài)時(shí)序靜態(tài)時(shí)序分析是采用窮盡分析方法來(lái)提取出整個(gè)電路存在的所有時(shí)序路徑,計(jì)算信號(hào)在這些路徑上的傳播延時(shí),檢查信號(hào)的建立和保持時(shí)間是否滿足時(shí)序要求,通過(guò)對(duì)最大路徑延時(shí)和最小路徑延時(shí)的分析,找出違背時(shí)序約束的錯(cuò)誤。它不需要輸入向量就能窮盡所有的路徑,且運(yùn)行速度很快、占用內(nèi)存較少,不僅可以對(duì)芯片設(shè)計(jì)進(jìn)行全面的時(shí)序功能檢查,而且還可利用時(shí)序分析的結(jié)果來(lái)優(yōu)化設(shè)計(jì),因此靜態(tài)時(shí)序分析已經(jīng)越來(lái)越多地被用到數(shù)字集成電路設(shè)計(jì)的驗(yàn)證中。動(dòng)態(tài)時(shí)序模擬就是通常的仿真,因?yàn)椴豢赡墚a(chǎn)生完備的測(cè)試向量,覆蓋門級(jí)網(wǎng)表中的每一條路徑。因此在動(dòng)態(tài)時(shí)序分析中,無(wú)法暴露一些路徑上可能存在的時(shí)序問題;22.給出一個(gè)門級(jí)的圖,又給了各個(gè)門的傳輸延時(shí),問關(guān)鍵路徑是什么,還問給出輸入,使得輸出依賴于關(guān)鍵路徑。(未知) 23.觸發(fā)器有幾種(區(qū)別,優(yōu)點(diǎn)),全加器等。 24.什么是正負(fù)邏輯?在數(shù)字電路中,一般用高電平代表1、低電平代表0,即所謂的正邏輯系統(tǒng)。反之,用高電平代表0、低電平代表1,即所謂的負(fù)邏輯系統(tǒng)。25.化簡(jiǎn)F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛) 26.為什么一個(gè)標(biāo)準(zhǔn)的倒相器中P管的寬長(zhǎng)比要比N管的寬長(zhǎng)比大?(仕蘭微電子)和載流子有關(guān),P管是空穴導(dǎo)電,N管電子導(dǎo)電,電子的遷移率大于空穴,同樣的電場(chǎng)下,N管的電流大于P管,因此要增大P管的寬長(zhǎng)比,使之對(duì)稱,這樣才能使得兩者上升時(shí)間下降時(shí)間相等、高低電平的噪聲容限一樣、充電放電的時(shí)間相等28. 時(shí)鐘周期為T,觸發(fā)器D1的建立時(shí)間最大為T1max,最小為T1min。組合邏輯電路最大延遲為T2max,最小為T2min。問,觸發(fā)器D2的建立時(shí)間T3和保持時(shí)間應(yīng)滿足什么條件。(華為) 29.畫出CMOS的圖,畫出tow-to-one mux gate。(威盛VIA 2003.11.06 上海筆試試題) 30.怎樣用D觸發(fā)器、與或非門組成二分頻電路?31名詞解釋:VLSI,CMOS,EDA,VHDL,Verilog,HDL,ROM,RAM,DRC,LVS,SRAM,DRAM,F(xiàn)LSAH,SSRAM,SDRAM,IRQ,BIOS,USB, SDR。由PMOS管和NMOS管共同構(gòu)成的互補(bǔ)型MOS集成電路即為 CMOSsram:靜態(tài)隨機(jī)存儲(chǔ)器,存取速度快,但容量小,掉電后數(shù)據(jù)會(huì)丟失;flash:閃存,存取速度慢,容量大,掉電后數(shù)據(jù)不會(huì)丟失;dram:動(dòng)態(tài)隨機(jī)存儲(chǔ)器,必須不斷的重新的加強(qiáng)(REFRESHED) 電位差量,否則電位差將降低至無(wú)法有足夠的能量表現(xiàn)每一個(gè)記憶單位處于何種狀態(tài)。價(jià)格比sram便宜,但訪問速度較慢,耗電量較大,常用作計(jì)算機(jī)的內(nèi)存使用;ssram:同步靜態(tài)隨機(jī)存儲(chǔ)器;SDRAM:同步動(dòng)態(tài)隨機(jī)存儲(chǔ)器;IRQ: Interrupt ReQuest; BIOS: Basic Input Output System;USB: Universal Serial Bus;SDR: Single Data Rate;壓控振蕩器的英文縮寫(VCO)。 32簡(jiǎn)述CMOS工藝流程。33畫出CMOS非門,與非門和或非門的電路,并畫出波形圖簡(jiǎn)述其功能。非門工作原理: A為高電平,T1截止T2導(dǎo)通,L為低電平,符合非邏輯關(guān)系。與非門工作原理: A、 B同為高電平時(shí)T1 、T2截止, T3 、T4導(dǎo)通,L為低電平,符合與非邏輯關(guān)系。反之亦然?;蚍情T工作原理:當(dāng)A、B兩個(gè)輸入端均為低電平時(shí),T1、T2截止,T3、T4導(dǎo)通,輸出Y為高電平;當(dāng)A、B兩個(gè)輸入端中有一個(gè)為高電平時(shí),T1、T2中必有一個(gè)導(dǎo)通,T3、T4中必有一個(gè)截止,輸出為低電平。 異或門電路:同或門電路:NMOS管的串聯(lián)可實(shí)現(xiàn)“與邏輯”,并聯(lián)可實(shí)現(xiàn)“或邏輯”,其輸出是該邏輯的反。每個(gè)CMOS門電路都由互補(bǔ)的NMOS管和PMOS管組合而成,且兩互補(bǔ)的NMOS管、PMOS管的柵極連接在一起作為輸入端。要實(shí)現(xiàn)“與邏輯”,可將相應(yīng)的NMOS管組合串聯(lián);要實(shí)現(xiàn)“或邏輯”,可將NMOS管組合并聯(lián)。NMOS管串聯(lián)時(shí),其對(duì)應(yīng)的PMOS管一定并聯(lián);NMOS管并聯(lián)時(shí),其對(duì)應(yīng)的PMOS管一定串聯(lián)。34mos與非門,多余的輸入、輸出端該怎么接,懸空?接地?接高電位?門電路中多余的輸入端一般不要懸空,因?yàn)楦蓴_信號(hào)易從這些懸空端引入,使電路工作不穩(wěn)定。與門和與非門:多余輸入端接正電源或與有用輸入端并接或門和或非門:多余輸入端接地或與有用輸入端并接CMOS電路多余輸入端與有用輸入端的并接僅適用于工作頻率很低的場(chǎng)合。TTL 電路輸入端懸空時(shí)相當(dāng)于輸入高電平,CMOS 電路多余輸入端不允許懸空。 35. 用邏輯門和cmos電路實(shí)現(xiàn)ab+cd。36什么是NMOS、PMOS、?什么是增強(qiáng)型、耗盡型?什么是PNP、NPN?他們有什么差別?(仕蘭微面試題目)NMOS是指溝道在柵電壓控制下p型襯底反型變成n溝道,靠電子的流動(dòng)導(dǎo)電 ;PMOS是指n型p溝道,靠空穴的流動(dòng)導(dǎo)電。增強(qiáng)型是指不加?xùn)旁措妷簳r(shí),F(xiàn)ET內(nèi)部不存在導(dǎo)電溝道,這時(shí)即使漏源間加上電源電壓也沒有漏極電流產(chǎn)生。耗盡型是指當(dāng)柵源電壓為0時(shí),F(xiàn)ET內(nèi)部已經(jīng)有溝道存在,這時(shí)若在漏源間加上適當(dāng)?shù)碾娫措妷?,就有漏極電流產(chǎn)生。PNP由2塊P型半導(dǎo)體中間夾著一塊N型半導(dǎo)體所組成,載流子以空穴為主;NPN管是由2塊N型半導(dǎo)體中間夾著一塊P型半導(dǎo)體所組成,載流子載流子以空穴為主。37. 畫出Y=A*B+C的cmos電路圖。38. 利用4選1實(shí)現(xiàn)F(x,y,z)=xz+yz.39.用波形表示D觸發(fā)器的功能。40. 用傳輸門和倒向器搭一個(gè)邊沿觸發(fā)器。41. 用邏輯門畫出D觸發(fā)器。42畫出DFF的結(jié)構(gòu)圖,用verilog實(shí)現(xiàn)之。43畫出一種CMOS的D鎖存器的電路圖和版圖。44D觸發(fā)器和D鎖存器的區(qū)別。45用D觸發(fā)器做個(gè)4進(jìn)制的計(jì)數(shù)。46用一個(gè)二選一mux和一個(gè)inv實(shí)現(xiàn)異或。47用與非門等設(shè)計(jì)全加法器。48. 史密斯特電路,求回差電壓。單片機(jī)、MCU、計(jì)算機(jī)原理1、簡(jiǎn)單描述一個(gè)單片機(jī)系統(tǒng)的主要組成模塊,并說(shuō)明各模塊之間的數(shù)據(jù)流流向和控制流流向。簡(jiǎn)述單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)原則。 CPU,片內(nèi)ROM/EPROM、RAM,片內(nèi)并行 I/O接口,片內(nèi)16位定時(shí)器/計(jì)數(shù)器,片內(nèi)中斷處理系統(tǒng),片內(nèi)全雙工串行I/O口。原則:可靠性,操作維護(hù)方便,性價(jià)比,設(shè)計(jì)周期短2、畫出8031與2716(2K*8ROM)的連線圖,要求采用三-八譯碼器,8031的P2.5,P2.4和P2.3參加譯碼,基本地址范圍為3000H-3FFFH。該2716有沒有重疊地址?根據(jù)是什么?若有,則寫出每片2716的重疊地址范圍。(仕蘭微面試題目) 3、用8051設(shè)計(jì)一個(gè)帶一個(gè)8*16鍵盤加驅(qū)動(dòng)八個(gè)數(shù)碼管(共陽(yáng))的原理圖。(仕蘭微面試題目) 4、PCI總線的含義是什么?PCI總線的主要特點(diǎn)是什么? (仕蘭微面試題目) PCI總線是一種不依附于某個(gè)具體處理器的局部高速同步總線。PCI總線的主要性能 :支持10臺(tái)外設(shè) 總線時(shí)鐘頻率33.3MHz/66MHz 最大數(shù)據(jù)傳輸速率133MB/s 時(shí)鐘同步方式 與CPU及時(shí)鐘頻率無(wú)關(guān)總線寬度 32位(5V)/64位(3.3V)能自動(dòng)識(shí)別外設(shè) 特別適合與Intel的CPU協(xié)同工作5、中斷的概念?簡(jiǎn)述中斷的過(guò)程。(仕蘭微面試題目) CPU在執(zhí)行一個(gè)程序時(shí),對(duì)系統(tǒng)發(fā)生的某個(gè)事件(程序自身或外界的原因)作出的一種反應(yīng):CPU暫停正在執(zhí)行的程序,保留現(xiàn)場(chǎng)后自動(dòng)轉(zhuǎn)去處理相應(yīng)的事件,處理完該事件后,到適當(dāng)?shù)臅r(shí)候返回?cái)帱c(diǎn),繼續(xù)完成被打斷的程序。(如有必要,被中斷的程序可以在后來(lái)某時(shí)間恢復(fù),繼續(xù)執(zhí)行。)6、(未知)What is PC Chipset? (揚(yáng)智電子筆試) 芯片組(Chipset)是主板的核心組成部分,按照在主板上的排列位置的不同,通常分為北橋芯片和南橋芯片。北橋芯片提供對(duì)CPU的類型和主頻、內(nèi)存的類型和最大容量、ISA/PCI/AGP插槽、ECC糾錯(cuò)等支持。南橋芯片則提供對(duì)KBC(鍵盤控制器)、RTC(實(shí)時(shí)時(shí)鐘控制器)、USB(通用串行總線)、Ultra DMA/33(66)EIDE數(shù)據(jù)傳輸方式和ACPI(高級(jí)能源管理)等的支持。其中北橋芯片起著主導(dǎo)性的作用,也稱為主橋(Host Bridge)。 除了最通用的南北橋結(jié)構(gòu)外,目前芯片組正向更高級(jí)的加速集線架構(gòu)發(fā)展,Intel的8xx系列芯片組就是這類芯片組的代表,它將一些子系統(tǒng)如IDE接口、音效、MODEM和USB直接接入主芯片,能夠提供比PCI總線寬一倍的帶寬,達(dá)到了266MB/s。 7、如果簡(jiǎn)歷上還說(shuō)做過(guò)cpu之類,就會(huì)問到諸如cpu如何工作,流水線之類的問題。(未知) 8、計(jì)算機(jī)的基本組成部分及其各自的作用。(東信筆試題) 計(jì)算機(jī)的主要組成部分可以歸納為以下五個(gè)部分:輸入設(shè)備、存儲(chǔ)器、運(yùn)算器、控制器和輸出設(shè)備。輸入設(shè)備的作用是將程序、原始數(shù)據(jù)、文字、字符、控制命令或現(xiàn)場(chǎng)采集的數(shù)據(jù)等信息輸入到計(jì)算機(jī)。存儲(chǔ)器的功能是存儲(chǔ)程序、數(shù)據(jù)和各種信號(hào)、命令等信息,并在需要時(shí)提供這些信息。運(yùn)算器的功能是對(duì)數(shù)據(jù)進(jìn)行各種算術(shù)運(yùn)算和邏輯運(yùn)算,即對(duì)數(shù)據(jù)進(jìn)行加工處理??刂破魇钦麄€(gè)計(jì)算機(jī)的中樞神經(jīng),其功能是對(duì)程序規(guī)定的控制信息進(jìn)行解釋,根據(jù)其要求進(jìn)行控制,調(diào)度程序、數(shù)據(jù)、地址,協(xié)調(diào)計(jì)算機(jī)各部分工作及內(nèi)存與外設(shè)的訪問等。輸出設(shè)備把外算機(jī)的中間結(jié)果或最后結(jié)果、機(jī)內(nèi)的各種數(shù)據(jù)符號(hào)及文字或各種控制信號(hào)等信息輸出出來(lái)。9、請(qǐng)畫出微機(jī)接口電路中,典型的輸入設(shè)備與微機(jī)接口邏輯示意圖(數(shù)據(jù)接口、控制接口、所存器/緩沖器)。 (漢王筆試) 10、cache的主要部分什么的。(威盛VIA 2003.11.06 上海筆試試題) Cache是一種特殊的存儲(chǔ)器,它由Cache 存儲(chǔ)部件和Cache控制部件組成。Cache 存儲(chǔ)部件一般采用與CPU同類型的半導(dǎo)體存儲(chǔ)器件,存取速度比內(nèi)存快幾倍甚至十幾倍。而Cache 控制器部件包括主存地址寄存器、Cache 地址寄存器,主存Cache地址變換部件及替換控制部件等。11、RS232c高電平脈沖對(duì)應(yīng)的TTL邏輯是?(負(fù)邏輯?) (華為面試題)12、單片機(jī)上電后沒有運(yùn)轉(zhuǎn),首先要檢查什么?首先應(yīng)該確認(rèn)電源電壓是否正常。用電壓表測(cè)量接地引腳跟電源引腳之間的電壓,看是否是電源電壓,例如常用的5V。接下來(lái)就是檢查復(fù)位引腳電壓是否正常。分別測(cè)量按下復(fù)位按鈕和放開復(fù)位按鈕的電壓值,看是否正確。然后再檢查晶振是否起振了,一般用示波器來(lái)看晶振引腳的波形,注意應(yīng)該使用示波器探頭的“X10”檔。另一個(gè)辦法是測(cè)量復(fù)位狀態(tài)下的IO口電平,按住復(fù)位鍵不放,然后測(cè)量IO口(沒接外部上拉的P0口除外)的電壓,看是否是高電平,如果不是高電平,則多半是因?yàn)榫д駴]有起振。另外還要注意的地方是,如果使用片內(nèi)ROM的話(大部分情況下如此,現(xiàn)在已經(jīng)很少有用外部擴(kuò)ROM的了),一定要將EA引腳拉高,否則會(huì)出現(xiàn)程序亂跑的情況。有時(shí)用仿真器可以,而燒入片子不行,往往是因?yàn)镋A引腳沒拉高的緣故(當(dāng)然,晶振沒起振也是原因只一)。經(jīng)過(guò)上面幾點(diǎn)的檢查,一般即可排除故障了。如果系統(tǒng)不穩(wěn)定的話,有時(shí)是因?yàn)殡娫礊V波不好導(dǎo)致的。在單片機(jī)的電源引腳跟地引腳之間接上一個(gè)0.1uF的電容會(huì)有所改善。如果電源沒有濾波電容的話,則需要再接一個(gè)更大濾波電容,例如220uF的。遇到系統(tǒng)不穩(wěn)定時(shí),就可以并上電容試試(越靠近芯片越好)。13.cpu在上電后,進(jìn)入操作系統(tǒng)的main()之前必須做什么工作?過(guò)程如下: bios自舉:檢查硬件等讀取MBR 轉(zhuǎn)到MBR執(zhí)行它的代碼,它會(huì)檢測(cè)活動(dòng)分區(qū)把活動(dòng)分區(qū)的引導(dǎo)扇區(qū)的引導(dǎo)代碼裝入內(nèi)存運(yùn)行引導(dǎo)代碼引導(dǎo)代碼裝入該分區(qū)的操作系統(tǒng)也就是進(jìn)入main()(當(dāng)然不一定叫main,如linux下叫start_kernel)執(zhí)行一系列的初始化,然后最終啟動(dòng)登錄界面實(shí)現(xiàn)啟動(dòng)過(guò)程14. 單片機(jī)中斷幾個(gè)/類型,編中斷程序注意什么問題.按中斷源的不同,中斷可分為:內(nèi)中斷:即程序運(yùn)行錯(cuò)誤引起的中斷;外中斷:即由外部設(shè)備、接口卡引起的中斷;軟件中斷:由寫在程序中的語(yǔ)句引起的中斷程序的執(zhí)行,稱為軟件中斷從CPU要不要接收中斷即能不能限制某些中斷發(fā)生的角度,中斷可分為:可屏蔽中斷 :可被CPU通過(guò)指令限制某些設(shè)備發(fā)出中斷請(qǐng)求的中斷;不可屏蔽中斷:不允許屏蔽的中斷如電源掉電15.機(jī)器周期: 完成一個(gè)基本操作所需要的時(shí)間。一個(gè)機(jī)器周期由12個(gè)時(shí)鐘周期組成指令周期: 一條指令的執(zhí)行時(shí)間。以機(jī)器周期為單位:?jiǎn)沃芷?、雙周期和四周期指令。16.普林斯頓結(jié)構(gòu):程序和數(shù)據(jù)共用一個(gè)存儲(chǔ)器邏輯空間,統(tǒng)一編址。哈佛結(jié)構(gòu):程序與數(shù)據(jù)分為兩個(gè)獨(dú)立存儲(chǔ)器邏輯空間, 分開編址。17.計(jì)數(shù)的脈沖如果來(lái)源于單片機(jī)內(nèi)部的晶振,由于其周期極為準(zhǔn)確,這時(shí)稱為定時(shí)器。計(jì)數(shù)的脈沖如果來(lái)源于單片機(jī)外部的引腳,由于其周期一般不準(zhǔn)確,這時(shí)稱為計(jì)數(shù)器。信號(hào)與系統(tǒng)1、的話音頻率一般為3003400HZ,若對(duì)其采樣且使信號(hào)不失真,其最小的采樣頻率應(yīng)為多大?若采用8KHZ的采樣頻率,并采用8bit的PCM編碼,則存儲(chǔ)一秒鐘的信號(hào)數(shù)據(jù)量有多大?(仕蘭微面試題目) 3400Hz*2=6800Hz2、什么耐奎斯特和香農(nóng)定律,怎么由模擬信號(hào)轉(zhuǎn)為數(shù)字信號(hào)。(華為面試題) 奈奎斯特采樣定律,只要采樣頻率高于原始信號(hào)中的最高頻率的兩倍,就可以從采樣中無(wú)失真地恢復(fù)原始的信號(hào)。香農(nóng)定理:描述了有限帶寬,有隨機(jī)熱噪聲信道的最大傳輸速率與信道帶寬,信號(hào)噪聲功率比之間的關(guān)系。香農(nóng)定理由如下的公式給出:C=Blog2(1S/N),其中C是信道容量,B是信道帶寬,S是平均信號(hào)功率,N是平均噪聲功率,信噪比(S/N)通常用分貝(dB)表示,分貝數(shù)=10log10(S/N)信道容量(channelcapacity):是指存在一種方式可以以數(shù)據(jù)率和隨意的低誤差概率將數(shù)據(jù)通過(guò)含噪聲的有限頻帶信道傳送。帶寬和信噪比是人們可以得勝的兩個(gè)自由度以提高通過(guò)一個(gè)信道發(fā)送信息的數(shù)據(jù)率。模擬信號(hào)是連續(xù)的,數(shù)字信號(hào)是離散的,這里的離散包括時(shí)間上的離散和幅度上的離散。所以要將模擬信號(hào)轉(zhuǎn)化為數(shù)字信號(hào),就要經(jīng)過(guò)采樣,量化以及編碼三步。 模擬信號(hào)轉(zhuǎn)化為數(shù)字信號(hào)可以通過(guò)A/D轉(zhuǎn)換器件來(lái)實(shí)現(xiàn)。3、如果模擬信號(hào)的帶寬為5khz,要用8K的采樣率,怎么辦? (lucent) 兩路? 4、信號(hào)與系統(tǒng):在時(shí)域與頻域關(guān)系。(華為面試題) 5、有一時(shí)域信號(hào)S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),當(dāng)其通過(guò)低通、 帶通、高通濾波器后的信號(hào)表示方式。(未知) 6、給出一時(shí)域信號(hào),要求(1)寫出頻率分量,(2)寫出其傅立葉變換級(jí)數(shù);(3)當(dāng)波形經(jīng)過(guò)低通濾波器濾掉高次諧波而只保留一次諧波時(shí),畫出濾波后的輸出波形。(未知) 7、sketch 連續(xù)正弦信號(hào)和連續(xù)矩形波(都有圖)的傅立葉變換 。(Infineon筆試試題) 8、拉氏變換、Z變換和傅立葉變換的表達(dá)式及聯(lián)系。(新太硬件面題), 9、TDMA,CDMA是什么?TDMA叫做時(shí)分多址,是一種空中接口技術(shù),它是將不同用戶放在同一頻率不同時(shí)間段內(nèi)進(jìn)行通信,它應(yīng)用在手機(jī)和基站之間的通信。CDMA是碼分多址的英文縮寫(Code Division Multiple Access),它是在數(shù)字技術(shù)的分支-擴(kuò)頻通信技術(shù)上發(fā)展起來(lái)的一種嶄新而成熟的無(wú)線通信技術(shù)。CDMA技術(shù)的原理是基于擴(kuò)頻技術(shù),即將需傳送的具有一定信號(hào)帶寬信息數(shù)據(jù),用一個(gè)帶寬遠(yuǎn)大于信號(hào)帶寬的高速偽隨機(jī)碼進(jìn)行調(diào)制,使原數(shù)據(jù)信號(hào)的帶寬被擴(kuò)展,再經(jīng)載波調(diào)制并發(fā)送出去。接收端使用完全相同的偽隨機(jī)碼,與接收的帶寬信號(hào)作相關(guān)處理,把寬帶信號(hào)換成原信息數(shù)據(jù)的窄帶信號(hào)即解擴(kuò),以實(shí)現(xiàn)信息通信。10串行通信與同步通信異同,特點(diǎn),比較。(華為面試題)串行通信的數(shù)據(jù)是逐位傳送的,發(fā)送方發(fā)送的每一位都具有固定的時(shí)間間隔,這就要求接收方也要按照發(fā)送方同樣的時(shí)間間隔來(lái)接收每一位,可以大大節(jié)省傳輸線,但是速度慢。并行通信是多位數(shù)據(jù)同時(shí)傳送,傳送速度快,但需要較多的傳輸線,通信成本高,只適用于近距離的傳送。11同步異步傳輸?shù)牟町悾ㄎ粗?同步通信是指在約定的通信速率下,發(fā)送端和接收端的時(shí)鐘信號(hào)頻率和相信始終保持一致(同步),這就保證了通信雙方在發(fā)送和接收數(shù)據(jù)時(shí)具有完全一致的定時(shí)關(guān)系。異步通信是指通信中兩個(gè)字符之間的時(shí)間間隔是不固定的,而在一個(gè)字符內(nèi)各位的時(shí)間間隔是固定的。12.什么是SDH?SDH(Synchronous Digital Hierarchy,同步數(shù)字體系)是一種將復(fù)接、線路傳輸及交換功能融為一體、并由統(tǒng)一網(wǎng)管系統(tǒng)操作的綜合信息傳送網(wǎng)絡(luò),是美國(guó)貝爾通信技術(shù)研究所提出來(lái)的同步光網(wǎng)絡(luò)(SONET)。DSP、嵌入式、軟件等1、請(qǐng)用方框圖描述一個(gè)你熟悉的實(shí)用數(shù)字信號(hào)處理系統(tǒng),并做簡(jiǎn)要的分析;如果沒有,也可以自己設(shè)計(jì)一個(gè)簡(jiǎn)單的數(shù)字信號(hào)處理系統(tǒng),并描述其功能及用途。(仕蘭微面試題目) 見下圖數(shù)字濾波器2、數(shù)字濾波器的分類和結(jié)構(gòu)特點(diǎn)。(仕蘭微面試題目) 按濾波器的沖激響應(yīng)分類,數(shù)字濾波器可分為有限沖激響應(yīng)濾波器(稱為FIR濾波器)和無(wú)限沖激響應(yīng)濾波器(稱為IIR濾波器)。按照實(shí)現(xiàn)方法來(lái)分類,數(shù)字濾波器可分為三類:遞歸型數(shù)字濾波器、非遞歸型數(shù)字濾波器和快速傅立葉變換型(FFT)。3、IIR,F(xiàn)IR濾波器的異同。(新太硬件面題)IIR數(shù)字濾波器與FIR濾波器相比,前者保留了模擬濾波器的優(yōu)點(diǎn),幅頻特性較好,但存在相位失真。后者相頻特性較好,可實(shí)現(xiàn)線性相位,但在相同指標(biāo)要求下要比前者

注意事項(xiàng)

本文(公司筆試面試-模電數(shù)電知識(shí))為本站會(huì)員(gbs****77)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!