歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于單片機(jī)的函數(shù)信號(hào)發(fā)生器設(shè)計(jì)

  • 資源ID:106626       資源大?。?span id="v0vrcn0" class="font-tahoma">888KB        全文頁(yè)數(shù):41頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

基于單片機(jī)的函數(shù)信號(hào)發(fā)生器設(shè)計(jì)

河海大學(xué)學(xué)士學(xué)位論文 - 1 - 摘 要 直接數(shù)字頻率合成 (簡(jiǎn)稱 一種以固定的精確時(shí)鐘源為基準(zhǔn),利用數(shù)字處理模塊產(chǎn)生頻率和相位均可調(diào)的輸出信號(hào)的技術(shù)。隨著超大規(guī)模集成電路和微電子技術(shù)的發(fā)展,現(xiàn)代高性能、高集成度和小體積的 品正快速取代傳統(tǒng)的模擬信號(hào)頻率合成技術(shù),成為了這類問(wèn)題新的解決方案。 本文主要介紹 用單片 機(jī) 芯片 89制 直接數(shù)字頻率合成 器 ( 芯片 并 產(chǎn)生穩(wěn)幅正弦波 和方波 。 本文著重討論了89外部電路的接口 ,單片微機(jī)控制系統(tǒng)的硬件結(jié)構(gòu)及軟件設(shè)計(jì)框圖。 整個(gè)系統(tǒng)電路簡(jiǎn)單,功能 強(qiáng)大,可擴(kuò)展性強(qiáng)。 文章首先介紹了 數(shù)信號(hào)發(fā)生器的產(chǎn)生背景以及課題的主要任務(wù),然后介紹了基于 號(hào)發(fā)生器的系統(tǒng)方案的提出、總體結(jié)構(gòu)及其特點(diǎn)。之后介紹了在硬件電路設(shè)計(jì)過(guò)程中所使用的主要芯片及其功能,之后是詳細(xì)的硬件電路設(shè)計(jì)方案和單片機(jī)程序及流程圖。然后介紹 具和電路調(diào)試。還有結(jié)構(gòu)及其原理、各模塊程序及其仿真結(jié)果、頂層程序及頂視圖。最后針對(duì)設(shè)計(jì)過(guò)程中所遇到的問(wèn)題對(duì)方案提出了進(jìn)一步的改進(jìn)及總結(jié)。 關(guān)鍵詞 單片機(jī) 流程圖 硬件電路 海大學(xué)學(xué)士學(xué)位論文 - 2 - is a of as be of of DS as of of DS 9a on 9 of of of DS of a it to DS of of in of it at a in of 河海大學(xué)學(xué)士學(xué)位論文 - 3 - 目 錄 摘 要 . 1 . 2 緒 論 . 5 一、課題背景 . 5 二、 數(shù)信號(hào)發(fā)生器概 述 . 5 三、課題的主要任務(wù) . 6 第一章 基于 數(shù)信號(hào)發(fā)生器設(shè)計(jì)方案 . 8 一、基于 號(hào)發(fā)生器的系統(tǒng)設(shè)計(jì)方案的提出 . 8 二、本系統(tǒng)所采用的方案及其特點(diǎn) . 8 第二章 主要芯片的選擇及其功能 . 9 一、單片機(jī) . 9 二、移位寄存器 74. 11 三、 8 路 D/A 轉(zhuǎn)換器 . 11 四、 3 線 譯碼器 74. 12 五、 . 13 第三章 硬件電路的設(shè)計(jì) . 15 一、鍵盤接口電路的設(shè)計(jì) . 15 二、 碼顯示電路的設(shè)計(jì) . 16 三、 D/A 轉(zhuǎn)換電路的設(shè)計(jì) . 17 四、看門狗電路部分硬件設(shè)計(jì) . 18 五、電源電路的設(shè)計(jì) . 19 第四章 單片機(jī)程序及流程圖 . 20 一、主程序及流程圖 . 20 二、顯示子 程序及流程圖 . 23 三、頻率控制字的計(jì)算 . 24 河海大學(xué)學(xué)士學(xué)位論文 - 4 - 第五章 具與電路調(diào)試 . 26 一、 紹 . 26 二、 臺(tái)的介紹 . 27 三、 D/A 轉(zhuǎn)換電路在 的仿真 . 28 第六章 程及調(diào)試 . 30 一、 紹 . 30 二、 介紹 . 30 三、 層設(shè)計(jì)程序及頂層視圖 . 30 四、各模塊程序及其仿真結(jié)果 . 31 畢業(yè)設(shè)計(jì)小結(jié) . 33 致 謝 . 34 參考文獻(xiàn) . 35 附 錄 . 36 河海大學(xué)學(xué)士學(xué)位論文 - 5 - 緒 論 一、課題背景 隨著數(shù)字技術(shù)在儀表和通信系統(tǒng)中的廣泛應(yīng)用,一種從參考頻率源生成多種頻率的數(shù)字控制方法應(yīng)運(yùn)而生,這種技術(shù)就是 直接數(shù)字合成)。 新的全數(shù)字頻率合成技術(shù)。 在電子行業(yè)的基礎(chǔ)設(shè)施和制造等領(lǐng)域,函數(shù)發(fā)生器都是有效的通用儀器。它可以生成不同頻率和幅度的大量信號(hào),用來(lái)評(píng)估新電路的運(yùn)行情況,代替時(shí)鐘信號(hào),對(duì)新產(chǎn)品進(jìn)行制造測(cè)試,及用于許多其它用途。自第一部正弦波發(fā)生器問(wèn)世以來(lái),函數(shù)發(fā)生器的設(shè)計(jì)已經(jīng)發(fā)生了多次演進(jìn),在當(dāng)前數(shù)字領(lǐng)域中,大多數(shù)新型函數(shù)發(fā)生器正采用 種新技術(shù)。 大部分操作中使用數(shù)字電路,從而提供了數(shù)字操作擁有的許多優(yōu)勢(shì)。由于信號(hào)只在合成的最后階段轉(zhuǎn)換到模擬域中,所以在多個(gè)方面降低了函數(shù)發(fā)生器的復(fù)雜度,提高了函數(shù)發(fā)生器的穩(wěn)定性。 最新的函數(shù)發(fā)生器利用了 優(yōu)勢(shì),能夠把多臺(tái)不同儀器中的功能融合到一部?jī)x器中?;?函數(shù)發(fā)生器現(xiàn)在不僅可以執(zhí)行函數(shù)發(fā)生器的功能,還可以執(zhí)行任意波形發(fā)生器 (功能。除此之外,某些儀器還是功能強(qiáng)大的脈沖發(fā)生器。這些功能將會(huì)給傳統(tǒng)測(cè)試方案帶來(lái)一次革命。 二、 數(shù)信號(hào)發(fā)生器概述 從本質(zhì)上看, 一個(gè)以恒定高頻率運(yùn) 行的多位計(jì)數(shù)器。在溢出時(shí) , 通過(guò)利用一個(gè)多位控制字來(lái)設(shè)置計(jì)數(shù)器步進(jìn)的尺寸,允許計(jì)數(shù)器過(guò)零。計(jì)數(shù)器的高階位用來(lái)尋址存儲(chǔ)設(shè)備,該設(shè)備保持有生成的一個(gè)波形周期的數(shù)字記錄。高頻時(shí)鐘每前進(jìn)一單位,計(jì)數(shù)器便步進(jìn)一次,存儲(chǔ)器也將生成一個(gè)新的地址字,而新的波形數(shù)據(jù)值將會(huì)發(fā)送到 出的是取樣模擬波形,該波形經(jīng)重構(gòu)濾波器之后由發(fā)生器輸出。 如果利用 形存儲(chǔ)器,那么 數(shù) 信號(hào) 發(fā)生器可以重現(xiàn)幾乎任何波形。 生器通過(guò)播放存儲(chǔ)器中存儲(chǔ)的波形來(lái)運(yùn)行。 路有存儲(chǔ)波形的 么控制器電路可以把任何波形寫入 通過(guò)合成器重放波河海大學(xué)學(xué)士學(xué)位論文 - 6 - 形。 三、 課題 的主要任務(wù) 本課題主要是 利用現(xiàn)場(chǎng)可編程邏輯門陣列 現(xiàn)直接數(shù)字頻率合成( 原理,以 成正 弦波以及方波 ,并在其基礎(chǔ)上制定改進(jìn)方案。其中包括系統(tǒng)硬件電路部分的設(shè)計(jì)以及軟件(單片機(jī)和 分的設(shè)計(jì)。針對(duì) 發(fā)展趨勢(shì)以及 重要作用,并結(jié)合本次課題的基本要求,主要工作如下: 1、 論述數(shù)字頻率合成( 術(shù)及其應(yīng)用,發(fā)展趨勢(shì)。 2、 基于 號(hào)發(fā)生器的系統(tǒng)設(shè)計(jì)方案的提出,并且描述該方案的特點(diǎn),構(gòu)建總體結(jié)構(gòu)。 3、 硬件電路的各子模塊及總體設(shè)計(jì)與調(diào)試,并且在 介紹 結(jié)構(gòu)及其原理,并用硬件描述語(yǔ)言( 寫各模塊子程序及頂層總程序,設(shè)計(jì)頂視圖,并將各程序及 頂層視圖進(jìn)行仿真測(cè)試。 需要完成的指標(biāo)要求有: 1、 ( 1) 正弦波信號(hào)源 : 信號(hào)頻率: 20200長(zhǎng)為 5 頻率穩(wěn)定度:優(yōu)于 10 非線性失真系數(shù) 3% ( 2) 脈沖波信號(hào)源 信號(hào)頻率: 20200長(zhǎng)為 5 上升時(shí)間和下降時(shí)間: 1s 平頂斜降: 5% 脈沖占空比: 2% 98%步進(jìn)可調(diào),步長(zhǎng)為 2% ( 3) 頻率可預(yù)置。 顯示器 :十進(jìn)制數(shù)字顯示。 ( 4)自行設(shè)計(jì)滿足本設(shè)計(jì)任務(wù)要求的穩(wěn)壓電源。 2、 為了讓畢業(yè)論文 更加清晰明了,更加有說(shuō)服力,有必要附加一些電路圖和事物圖。 ( 1)圖紙內(nèi)容及張數(shù): 河海大學(xué)學(xué)士學(xué)位論文 - 7 - 基于 計(jì)的 基于 計(jì)的電路原理圖 基于 發(fā)裝置實(shí)現(xiàn)電路原理圖 基于 各種波形仿真圖 ( 2) 實(shí)物要求: 基于 各種仿真結(jié)果 在 發(fā)裝置上實(shí)現(xiàn)并調(diào)試所設(shè)計(jì)的電路及結(jié)果 基于 基于 河海大學(xué)學(xué)士學(xué)位論文 - 8 - 第一章 基于 數(shù)信號(hào)發(fā) 生器設(shè)計(jì)方案 一、基于 號(hào)發(fā)生器的系統(tǒng)設(shè)計(jì)方案的提出 1、采用高性能的 片 電路解決方案 隨著 術(shù)和 不斷發(fā)展, 頻率合成器單片化在九十年代就已經(jīng)完成。由于 片性能日趨完善,需求量激增,其中 司的 前取得了極為廣泛的應(yīng)用。 2、自行設(shè)計(jì)基于 片的解決方案 術(shù)的實(shí)現(xiàn)依賴于高速、高性能的數(shù)字器件??删幊踢壿嬈骷云渌俣雀?、規(guī)模大、可編程,以及有強(qiáng)大的 件支持等特性,十分適合實(shí)現(xiàn)統(tǒng)的數(shù)字部分。在高可靠應(yīng)用 領(lǐng)域,如果設(shè)計(jì)得當(dāng),將不會(huì)存在類似復(fù)位不可靠等問(wèn)題。而且由于它的高集成度,完全可以將整個(gè)系統(tǒng)下載于同一芯片中,實(shí)現(xiàn)所謂的片上系統(tǒng),從而大大縮小產(chǎn)品的體積,提高系統(tǒng)的可靠性。 另外可編程邏輯器件的開(kāi)發(fā)一般都是利用先進(jìn)的 具進(jìn)行電子系統(tǒng)設(shè)計(jì)和產(chǎn)品開(kāi)發(fā)。開(kāi)發(fā)工具的通用性、設(shè)計(jì)語(yǔ)言的標(biāo)準(zhǔn)化以及設(shè)計(jì)過(guò)程幾乎與所用器件硬件結(jié)構(gòu)無(wú)關(guān)聯(lián),使得設(shè)計(jì)成功的各類邏輯功能軟件具有良好的兼容性和可移植性。 二、本系統(tǒng)所采用的方案及其特點(diǎn) 由于條件有限,所以采用了自行設(shè)計(jì)基于 片的解決方案。 這種方案的特 點(diǎn): 1、利用 可以根據(jù)需要方便地實(shí)現(xiàn)各種比較復(fù)雜的調(diào)頻、調(diào)相和 調(diào)幅功能,具有良好的實(shí)用性。 2、設(shè)計(jì)操作平臺(tái)簡(jiǎn)單: 供的一個(gè)完整的 發(fā)軟件,可完成從設(shè)備輸入、編譯、邏輯綜合、器件適配、設(shè)計(jì)仿真、定時(shí)分析、器件編程的所有過(guò)程。用 計(jì) 統(tǒng)數(shù)字部分最簡(jiǎn)單的方法是采用原理圖輸入。 3、利用 以用 河海大學(xué)學(xué)士學(xué)位論文 - 9 - 第二章 主要芯片的選擇及其功能 一、單片機(jī) 9一種帶 4K 字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的低電壓,高性能微處理器。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除 100 次。該器件采用 工業(yè)標(biāo)準(zhǔn)的 令集和輸出管腳相兼容。由于將多功能 8位 9 主要性能: · 128*8 位內(nèi)部 4 個(gè) 8 位 I/O 口 · 片內(nèi)有 4在線重復(fù)編程的快閃擦寫存儲(chǔ)器 · 存儲(chǔ)數(shù)據(jù)保存時(shí)間為 10 年 · 寬工作電壓范圍: 為 6V · 全 靜態(tài)工作:可從 0 16· 程序存儲(chǔ)器具有 3 級(jí)加密保護(hù) · 三 個(gè) 16 位定時(shí)器 /計(jì)數(shù)器 · 中斷結(jié)構(gòu)具有 6 個(gè)中斷源和 4 個(gè)優(yōu)先級(jí) · 可編程全雙工串行通道 · 空閑狀態(tài)維持低功耗和掉電狀態(tài)保存存儲(chǔ)內(nèi)容 · 4 個(gè) 8 位 I/O 口 ·全雙工增強(qiáng)型 可編程時(shí)鐘輸出 ·異步端口復(fù)位 ·低 禁止 及 6 時(shí)鐘模式 ) ·掉電模式可通過(guò)外部中斷喚醒 河海大學(xué)學(xué)士學(xué)位論文 - 10 - 其 引 腳結(jié)構(gòu)如圖 2示。 圖 2 腳結(jié)構(gòu) 引腳功能簡(jiǎn)述 : · 電電壓。 · 地。 · 編程輸入輸出口。 · 位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持 · 地址鎖存允許信號(hào)。在存取外部存儲(chǔ)器時(shí),這個(gè)信號(hào)用于鎖存低字節(jié)地址。 · 外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次 效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的 · 持低電平時(shí),在此期間外部程序存儲(chǔ)器,不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式 1 時(shí), 內(nèi)部鎖定為 保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在 程期間,此引腳也用于施加 12V 編程河海大學(xué)學(xué)士學(xué)位論文 - 11 - 電源 。 · 向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電 路的輸入。 · 自反向振蕩器的輸出。 二、移位寄存器 74 位移位寄存器(串行輸入,并行輸出) 引出端排列圖如圖 2示 圖 2引出端排列圖 引出端符號(hào) 功能簡(jiǎn)述 : 鐘輸入端 步清除輸入端(低電平有效) A, B 串行數(shù)據(jù)輸入端 出端 當(dāng)清除端( 低電平時(shí),輸出端( 為低電平。 串行數(shù)據(jù)輸入端( A, B) 可控制數(shù)據(jù)。當(dāng) A、 B 任意一個(gè)為低電平,則禁止新數(shù)據(jù)輸入,在時(shí)鐘端( 沖上升沿作用下 低電平 。 當(dāng) A、 B 有一個(gè)為高電平,則另一個(gè)就允許輸入數(shù)據(jù),并在 升沿作用下決定 狀態(tài)。 三、 8 路 D/A 轉(zhuǎn)換器 8 位分辨率 D/A 轉(zhuǎn)換集成芯片,與處理器完全兼容,其價(jià)格低廉,接口電路及程序簡(jiǎn)單,轉(zhuǎn)換控制容易等優(yōu)點(diǎn),在單片機(jī)應(yīng)用系統(tǒng)中得到了廣泛的應(yīng)用。 河海大學(xué)學(xué)士學(xué)位論文 - 12 - 它由三大部分組成:一個(gè) 8 位輸入寄存器,一個(gè) 8 位 存器和一個(gè) 8位 D/ 其 引腳 結(jié)構(gòu)如圖 2 圖 2引腳圖 引腳功能簡(jiǎn)述: 據(jù)輸入線, 據(jù)鎖存允許控制信號(hào)輸入線,高電平有效。 選信號(hào)輸入線,低電平有效。 輸入寄存器的寫選通信號(hào)。 據(jù)傳送控制信號(hào)輸入線,低電平有效。 流輸出線。當(dāng)輸入全為 1時(shí) 大。 流輸出線。其值與 饋信號(hào)輸入線 ,芯片內(nèi)部有反饋電阻 源輸入線 (+5v +15v) 準(zhǔn)電壓輸入線 (+10v) 。 擬地 ,摸擬信號(hào)和基準(zhǔn)電源 的參考地 。 字地 ,兩種地線在基準(zhǔn)電源處共地比較好 。 四、 3 線 譯碼器 74海大學(xué)學(xué)士學(xué)位論文 - 13 - 引腳結(jié)構(gòu)如圖 2示: 圖 274引腳圖 引腳功能簡(jiǎn)述: 0A、1A、2A: 譯碼地址輸入端 1S: 選通端 2S、3S: 選通端(低電平有效) 0Y7Y: 譯碼輸出端(低電平有效) 低電平時(shí),可將地址端(0A、1A、2A)的二進(jìn)制編碼在一個(gè)對(duì)應(yīng)的輸出端以低電平譯出。 利用1S、24 線譯碼器;若外接一個(gè)反相器還可級(jí)聯(lián)擴(kuò)展成 32 線譯碼器。 若將選通端中的一個(gè)作為數(shù)據(jù)輸入端時(shí), 74可作數(shù)據(jù)分配器。 功能表 如圖 2 五、 片屬 司的 列,該系列是 司著眼于通信、音頻處理及類似場(chǎng)合應(yīng)用而推出的 件系列芯片,它采用 度從 10000門到 100000門。所有 46 支持鎖相環(huán)電路。 用查找表( 入式陣列塊)相結(jié)合的結(jié)構(gòu),可用來(lái)實(shí)現(xiàn)存儲(chǔ)器、專用邏輯功能和通用邏輯功能,每個(gè) 提供 4096 比特的存儲(chǔ)空間,每個(gè) 含 4 個(gè)輸入 個(gè)可編程的觸發(fā)器、進(jìn)位鏈和一個(gè)層疊鏈。合理運(yùn)用進(jìn)位鏈能夠提高系統(tǒng) 運(yùn)行速度。 河海大學(xué)學(xué)士學(xué)位論文 - 14 - 引腳結(jié)構(gòu)如圖 2示: 圖 2腳結(jié)構(gòu) 引腳功能如圖 2示: 圖 2河海大學(xué)學(xué)士學(xué)位論文 - 15 - 第三章 硬件電路的設(shè)計(jì) 一、 鍵盤接口電路的設(shè)計(jì) 鍵盤輸入的硬件圖,如圖 3示。 圖 3路 鍵盤的工作方式一般有程控掃描方式、定時(shí)掃描方式、中斷掃描方式三種。 程控掃描方式就是只有當(dāng)單片機(jī)空閑時(shí),才調(diào)用鍵盤掃描子程序,反復(fù)的掃描鍵盤,等待用戶從鍵盤上輸入命令或數(shù)據(jù),來(lái)響應(yīng)鍵盤的輸入請(qǐng)求。 程控掃描方式的一般步驟為:判斷有無(wú)鍵按下,掃描鍵盤得按鍵參 數(shù),計(jì)算鍵號(hào),判斷閉合鍵是否釋放,執(zhí)行鍵操作子程序,返回。 定時(shí)掃描方式 即利用內(nèi)部定時(shí)器,產(chǎn)生 10應(yīng)中斷時(shí)對(duì)鍵盤進(jìn)行掃描,在有鍵按下時(shí)識(shí)別出該鍵,并執(zhí)行相應(yīng)鍵處理功能程序。定時(shí)掃描方式的鍵盤右鍵電路和程控掃描方式相同 。 鍵盤工作于程控掃描狀態(tài)時(shí), 不間斷的對(duì)鍵盤進(jìn)行掃描工作,以監(jiān)視鍵盤的輸入情況,知道有鍵按下為止。其間 能干任何其他工作,如果作量大,這種方式將不能適應(yīng),定時(shí)掃描進(jìn)了一步,除了定時(shí)監(jiān)視一下鍵盤輸入情況外,其余時(shí)間可進(jìn)行其他任務(wù)的處理, 因此 率提 高了,為了進(jìn)一步提高 作效率,可采用中斷掃描方式,即只有在鍵盤按下時(shí),才執(zhí)行鍵盤掃描并執(zhí)行該按鍵功能程序。 圖 3× 4鍵盤,此鍵盤 即 采用中斷掃描方式,當(dāng)鍵盤上有鍵閉合式產(chǎn)生中斷請(qǐng)求,執(zhí)行中斷服務(wù)程序,判別鍵盤上閉合鍵的鍵號(hào),并做出相應(yīng)處理。 河海大學(xué)學(xué)士學(xué)位論文 - 16 - 鍵盤的列線接到 位,鍵盤的行線通過(guò) 74接到 高四位,因此 為鍵輸入線, 為掃描輸出線,初態(tài)時(shí) 為“ 0”。 鍵盤上沒(méi)有閉合鍵時(shí) ,高電平,當(dāng)鍵盤上有任意一個(gè)鍵按下時(shí), 低,向 出中斷請(qǐng)求,若 放外部中斷,則響應(yīng)中斷請(qǐng)求,執(zhí)行中斷服務(wù)程序掃描鍵盤。 經(jīng)查閱相關(guān)資料可得,正常情況下,當(dāng)操作人員進(jìn)行按鍵操作時(shí),由于機(jī)械觸點(diǎn)的彈性作用,在閉合及斷開(kāi)瞬間均有抖動(dòng)過(guò)程,會(huì)出現(xiàn)一系列負(fù)脈沖。抖動(dòng)時(shí)間的長(zhǎng)短,與開(kāi)關(guān)的機(jī)械特性有關(guān),一般為 5 由操作人員的按鍵動(dòng)作所確定,一般為十分之幾秒到幾秒的時(shí)間。為了保證單片機(jī)對(duì)鍵的一次閉合,僅作一次鍵輸入處 理,必須取出抖動(dòng)的影響。 常用的去抖動(dòng)的措施有硬、軟件兩種方法。在這里,我們采用的是軟件去抖動(dòng),既實(shí)用又有效。其工作方式為:當(dāng)檢測(cè)到有按鍵按下后,先將鍵值送寄存器,然后執(zhí)行一個(gè) 10次執(zhí)行鍵盤掃描程序,如果本次程序執(zhí)行后,該鍵依然存在,則認(rèn)為出現(xiàn)了一個(gè)有效按鍵,下面就根據(jù)其鍵值轉(zhuǎn)到相應(yīng)的處理子程序,完成相應(yīng)的操作。 二、 碼顯示 電路的設(shè)計(jì) 圖 3碼顯示電路 在顯示方面, 需要 8 位 示,為了簡(jiǎn)化硬件電路, 將所有位的段選線相應(yīng)的并聯(lián)在一起, 通過(guò)單向移位寄存器 74用 串行方式,直接利用單片機(jī)的串行口,將需要顯示的數(shù)據(jù)直接輸送到 據(jù)從 腳串行輸出, 腳輸出同步脈沖。當(dāng)一個(gè)數(shù)據(jù)寫入串行口發(fā)送緩沖器時(shí),串行口即將 8 位數(shù)據(jù)以 /12腳輸出,從低位到高位,發(fā)送完后置中斷標(biāo)志位 “ 1” 。 在 利用 單向移位寄存器 74行河海大學(xué)學(xué)士學(xué)位論文 - 17 - 串并轉(zhuǎn)換,再顯示出來(lái),節(jié)省了端口。 74于位移位串并轉(zhuǎn)換芯片,在接收到移位數(shù)據(jù)和移位脈沖之后,會(huì)將這一位數(shù)據(jù)顯示到 74 ,同時(shí)將原來(lái)端口上的 位到時(shí)原來(lái) 在此,我們利用這一特性,達(dá)到多位顯示的功能。 并利用二進(jìn)制譯碼器74行選通的目的 。 以在二進(jìn)制譯碼器的輸出端加一個(gè)反相器 4069,以達(dá)到高電平選通的目的。 在把 數(shù)據(jù)送顯示后,要執(zhí)行一個(gè)延時(shí),使輸送的數(shù)據(jù)在 能夠暫存一段時(shí)間,由于人眼的視覺(jué)暫存時(shí)延為 左右,所以顯示的數(shù)據(jù)要保留 考慮到如果閃動(dòng)的頻率過(guò)高, 會(huì)對(duì)人眼帶來(lái)相當(dāng)大的負(fù)擔(dān), 同時(shí) 造成眼疲勞。 三、 D/A 轉(zhuǎn)換電路的設(shè)計(jì) 圖 3D/在 D/A 轉(zhuǎn)換方面,采用 8 位 D/A 轉(zhuǎn)換器 運(yùn)算放大器 成D/ 如圖 3示, 間不加鎖存器,直接利用 待轉(zhuǎn)換的 8 位數(shù)字量由芯片的 8 位數(shù)據(jù)輸入線 入,經(jīng)換后,通過(guò) 2 個(gè)電流輸出端 出, 邏輯電平為 "1"的各位輸出電流之和, 邏輯電平為 "0"的各位輸出電流之和。河海大學(xué)學(xué)士學(xué)位論文 - 18 - 另外, 1控制轉(zhuǎn)換的控制信號(hào)。 8 位輸入寄 存器、 8 位 存器和 8 位 D/A 轉(zhuǎn)換電路組成。輸入寄存器和 存器作為雙緩沖,因?yàn)樵?據(jù)線直接接到 據(jù)在輸入端保持的時(shí)間僅僅是在 行輸出指令的瞬間內(nèi),輸入寄存器可用于保存此瞬間出現(xiàn)的數(shù)據(jù)。有時(shí),微機(jī)控制系統(tǒng)要求同時(shí)輸出多個(gè)模擬量參數(shù),此時(shí)對(duì)應(yīng)于每一種參數(shù)需要一片 片 可采用 存器對(duì) 時(shí)輸入到輸入寄存器的各參數(shù)在同一時(shí)刻開(kāi)始鎖存,進(jìn)而同時(shí)產(chǎn)生各模擬信號(hào)。 控制信號(hào) 1 高電平, 低電平, 1 生正脈沖;其中 高電平時(shí),輸入寄存器的狀態(tài)隨數(shù)據(jù)輸入線狀態(tài)變化, 負(fù)跳變將輸入數(shù)據(jù)線上的信息存入輸入寄存器。 控制信號(hào)2來(lái)控制 8 位 A/D 轉(zhuǎn)換器。當(dāng) 低電平,2在 生正脈沖;其中 高電平時(shí), 存器的輸入與輸出的狀態(tài)一致, 跳變,輸入寄存器內(nèi)容存入 存器。 四、看門狗電路部分硬件設(shè)計(jì) 為了防止系統(tǒng)由于種種原因進(jìn)入死循環(huán)或者程序跑飛,在外圍又設(shè)置了看門狗芯片 編程序在設(shè)計(jì)時(shí),有意識(shí)的在部 分子程序的開(kāi)始處加入了簡(jiǎn)單的喂狗程序段: ;將喂狗端置 25 ;調(diào)用 25 證足夠長(zhǎng)的時(shí)鐘寬度 空操作,繼續(xù)延時(shí),無(wú)意義 再次將喂狗端拉高,完成一次喂狗 這樣,由于本芯片的喂狗時(shí)鐘溢出上限為 ,而單片機(jī)程序完成一次主循環(huán)的時(shí)間是遠(yuǎn)遠(yuǎn)短于這個(gè)時(shí)間,所以,只要程序不跑偏,不進(jìn)入死循環(huán),主程序在每一個(gè)循環(huán)中,會(huì)數(shù)次完成喂狗操作,而在系統(tǒng)出現(xiàn)跑偏等非正常情河海大學(xué)學(xué)士學(xué)位論文 - 19 - 況時(shí),最長(zhǎng) 統(tǒng)就會(huì) 復(fù)位,重新開(kāi)始主循環(huán),而單片機(jī)在復(fù)位時(shí),雖然端口和特殊寄存器全部回到初始值,但其內(nèi)部的 以,復(fù)位后的單片機(jī)會(huì)很快回到復(fù)位前的工作狀態(tài),而在短暫的 時(shí)間內(nèi),系統(tǒng)的變化并不是很大,完全可以忽略在這段時(shí)間內(nèi)的變化。 在外加看門狗芯片后可以發(fā)現(xiàn),系統(tǒng)的穩(wěn)定性被大大加強(qiáng),運(yùn)行更加穩(wěn)定。 五、電源電路的設(shè)計(jì) 原理圖如圖 3 圖 3電源電路 在電源的設(shè)計(jì)過(guò)程中,最需要考慮的依然是干擾的抑制問(wèn)題,在前面也已經(jīng)提到了,使用弱電的 分對(duì)外界的干擾相當(dāng)敏感,一不小心就會(huì)帶來(lái)災(zāi)難性 的后果。而且作為零電位的地線,如果共地的元件過(guò)多,或者連接的線路過(guò)長(zhǎng),也有可能使干擾從地線引入,造成無(wú)法預(yù)期的后果。 因此, 54V 電源被分開(kāi)設(shè)計(jì),分別接地。沒(méi)有物理上的連接關(guān)系,截?cái)嗔烁蓴_的通路,從根本上解決了電源部分的干擾問(wèn)題。 河海大學(xué)學(xué)士學(xué)位論文 - 20 - 第四章 單片機(jī) 程序及流程圖 一、主程序及流程圖 流程圖如圖 4 圖 4主程序流程圖 主程序: 01H; 令 31; 清零兩個(gè)計(jì)數(shù)器 , 3; 清零兩個(gè)計(jì)數(shù)器 , ?,#00H; 禁止計(jì)數(shù)器計(jì)數(shù) ,置低 cl,?連的 子程序返回 E,#82H; 開(kāi) 0,#14H; 河海大學(xué)學(xué)士學(xué)位論文 - 21 - ; 等待中斷 中斷服務(wù)子程序: 0000, 跳轉(zhuǎn)到顯示子程序 0,#14H; 恢復(fù) 3 重裝入定時(shí)器初值 E,#82H; 000H 102,#04,#00H 1,B ,#00H B ,#04H B ,#08H 河海大學(xué)學(xué)士學(xué)位論文 - 22 - B ,#0, 4 ,L A 2,A 1,#0, ,#0 20 248 ;置高 海大學(xué)學(xué)士學(xué)位論文 - 23 - ;置高 備讀入 X ;讀 入操作 X X X 二 、顯示子程序及流程圖 流程圖如圖 4 顯 示 子 程 序 入 口保 護(hù) 現(xiàn) 場(chǎng)查 表 確 定 將 要 顯 示 的 內(nèi) 容選 通 最 右 邊 一 位 L E 幕 上 顯 示 接 收 的 字 符內(nèi) 容 是 否 顯 示 完 ?選 通 左 邊 一 位 , 字符 順 序 左 移 一 位恢 復(fù) 現(xiàn) 場(chǎng)返 回顯示子程序流程圖 顯示子程序: 1,#50H ;顯示緩沖區(qū)首地址入 2,#0 ;首位 (示控制字 (保證 )入 ,3,A ;送 使 其他不變 ) , ;查段碼 ,待查 河海大學(xué)學(xué)士學(xué)位論文 - 24 - ;段碼表首址入 ,A+ ;查段碼指令 1,#A ;段碼送入 ;延時(shí)使視覺(jué)暫留 ,可以延時(shí) 11 ;指向下一位顯示緩沖單元 , ;判斷 4位輪流顯示完 ? ;8位顯示完 )轉(zhuǎn)結(jié)束 ;8 位未顯示完 ,左移一位使下一顯示位控制口線為 0 2,A ;8位未顯示完繼續(xù)顯示 ;8位顯示完子程序結(jié)束 B 366H,67H "0" "1" "2" "3" "4" "5" "6" "7" 7H,79H,59H 71H "8" "9" "A" "B" "C" "D" "E" "F" 7,#20 ;延時(shí)子程序 6,#20 6,7, 、 頻率控制字的計(jì)算 課題要求本次設(shè)計(jì)的信號(hào)發(fā)生器的輸出頻率范圍為( 20200進(jìn) 可 調(diào)整, 且 步長(zhǎng)為 5們可以知道最大值 200以參考時(shí)鐘頻率必須取 500因?yàn)橐话爿敵鲱l率0 40% X 。在此我們 1 M 因?yàn)橐蟛介L(zhǎng)為 5要求分辨率 5所以, N 5 N 18 因此,我們選擇相位累加器的位數(shù) N = 32 。 河海大學(xué)學(xué)士學(xué)位論文 - 25 - 另外,波形存儲(chǔ)器是存儲(chǔ)相位 幅度序列的轉(zhuǎn)換值的。為了使輸出的信號(hào)更加穩(wěn)定和精確,要求該序列的個(gè)數(shù)盡量多。因此,波形存儲(chǔ)器要有更大的容量,即有更大的空間。波形存儲(chǔ)器的容量越大,成本也越高。基于成本的限制,在此,我們?nèi)∞D(zhuǎn)換序列個(gè)數(shù)為 1024。所以取波形存儲(chǔ)器的位數(shù) A 為 10,既有10條地址線。 由于數(shù)模轉(zhuǎn) 換器 位數(shù) D 直接關(guān)系到 輸出雜散噪聲性能, D 取值越大,雜散性能就越高,但是當(dāng)位數(shù)增加到一定程度后,得到的改善不再明顯。所以,這里取位數(shù) 8位。 河海大學(xué)學(xué)士學(xué)位論文 - 26 - 第五章 具與電路調(diào)試 一、 紹 目前國(guó)內(nèi)最流行的通用 件,它是將電路原理圖設(shè)計(jì)、 路仿真和 計(jì)等多個(gè)實(shí)用工具軟件組合后構(gòu)成的 作平臺(tái),是第一個(gè)將 件設(shè)計(jì)成基于 普及型產(chǎn)品。 8 率先集成了軟件界面, 9增加了仿真功能和 圖 5窗口 原理圖設(shè)計(jì)系統(tǒng)主要用于電路原理圖的設(shè)計(jì)。這部分主要包括原理圖編輯器 印制電路板設(shè)計(jì)系統(tǒng)主要用于印制電路板的設(shè)計(jì)。這部分印制電路板編輯器 統(tǒng)( 信號(hào)模擬仿真系統(tǒng)主要一個(gè)數(shù)模混合信號(hào)電路仿真器 ,以提供模擬信號(hào)和數(shù)字信號(hào)的仿真。 河海大學(xué)學(xué)士學(xué)位論文 - 27 - 信號(hào)完整性分析系統(tǒng)主要用來(lái)分析 計(jì)、檢查設(shè)計(jì)參數(shù)及信號(hào)測(cè)試等。 可編程邏輯設(shè)計(jì)系統(tǒng)用來(lái)設(shè)計(jì)大規(guī)??删幊踢壿嬈骷?,包括用于文本編輯器 于編譯和仿真設(shè)計(jì)結(jié)果的 仿真波形的 二、 臺(tái)的介紹 電子設(shè)計(jì)自動(dòng)化( 術(shù),使得電子線路的設(shè)計(jì)人員能在計(jì)算機(jī)上完成電路的功能設(shè)計(jì)、邏輯設(shè)計(jì)、性能分析、時(shí)序測(cè)試直至印刷電路板的自動(dòng)設(shè)計(jì)。術(shù)的基礎(chǔ)上發(fā)展起來(lái)的計(jì)算機(jī)設(shè)計(jì)軟件系統(tǒng)。與早期的 件相比, 件的自動(dòng)化程度更高、功能更完善、運(yùn)行速度更快,而且操作界面友善,有良好的數(shù)據(jù)開(kāi)放性和互換性。 電子工作平臺(tái) 件具有這樣一些特點(diǎn): ( 1)采用直觀的圖形界面創(chuàng)建電路 :在計(jì)算機(jī)屏幕上模仿真實(shí)實(shí)驗(yàn)室的工作臺(tái),繪制電路圖需要的元器件、電路仿真需要的測(cè)試儀器均可直接從屏幕上選取 。 ( 2)軟件儀器的控制面板外形和操作方式都與實(shí)物相似, 能 實(shí)時(shí)顯示測(cè)量結(jié)果。 ( 3) 供多種電路分析方法。 ( 4)作為設(shè)計(jì)工具,它可以同其它流行的電路分析、設(shè)計(jì)和制板軟件交換數(shù)據(jù)。 ( 5) 用它提供的虛擬儀器可以進(jìn)行電路實(shí)驗(yàn),仿真電路的實(shí)際運(yùn)行情況,熟悉常用電子儀器測(cè)量方法。 圖 5河海大學(xué)學(xué)士學(xué)位論文 - 28 - 三、 D/A 轉(zhuǎn)換電路在 的仿真 1用虛擬工作臺(tái)仿真電路的步驟 : 由于 時(shí)交互控制元件和多種受控信號(hào)源模型,除了可以給出以數(shù)值和曲線表示的 析結(jié)果外, 提供了獨(dú)特的虛擬電子工作臺(tái)仿真方式,可以用虛擬儀器實(shí)時(shí)監(jiān)測(cè)顯示電路的變量值,頻響曲線和波形。仿真的步驟為: ( 1) 輸入原理圖,在工作區(qū)放置元件的原理圖符號(hào),連 接導(dǎo)線,設(shè)置元件參數(shù); ( 2) 放置和連接測(cè)量?jī)x器,設(shè)置測(cè)量?jī)x器參數(shù); ( 3) 啟動(dòng)仿真開(kāi)關(guān),在儀器上觀察仿真結(jié)果。 2仿真實(shí)例 1: 通濾波器電路的仿真 在電路工作區(qū)輸入如下圖電路。其中包含兩個(gè)正弦交流電壓源,一個(gè)為 1V 2一個(gè)為 5v 60有一個(gè)周期脈沖電壓源(時(shí)鐘源),幅度 5V, 頻率50占空比 50%,兩組電源用開(kāi)關(guān)來(lái)切換。電路的輸入為節(jié)點(diǎn) 8,輸出為節(jié)點(diǎn)3。如圖 5接波特圖儀、示波器和電壓表。 圖 5

注意事項(xiàng)

本文(基于單片機(jī)的函數(shù)信號(hào)發(fā)生器設(shè)計(jì))為本站會(huì)員(QQ加14****9609)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!