歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

DDS 直接數(shù)字頻率合成器實(shí)驗(yàn)報(bào)告(DOC)

  • 資源ID:114632765       資源大?。?span id="a60altd" class="font-tahoma">1.76MB        全文頁(yè)數(shù):30頁(yè)
  • 資源格式: DOCX        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

DDS 直接數(shù)字頻率合成器實(shí)驗(yàn)報(bào)告(DOC)

直接數(shù)字頻率合成器(DDS)實(shí)驗(yàn)報(bào)告課程名稱電類綜合實(shí)驗(yàn)實(shí)驗(yàn)名稱直接數(shù)字頻率合成器設(shè)計(jì)實(shí)驗(yàn)日期2015.6.12013.6.4學(xué)生專業(yè)測(cè)試計(jì)量技術(shù)及儀器學(xué)生學(xué)號(hào)114101002268學(xué)生姓名陳靜實(shí)驗(yàn)室名稱基礎(chǔ)實(shí)驗(yàn)樓237教師姓名花漢兵成績(jī)5摘要直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡(jiǎn)稱DDFS或DDS)是一種基于全數(shù)字技術(shù),從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù)。本篇報(bào)告主要介紹設(shè)計(jì)完成直接數(shù)字頻率合成器DDS的過(guò)程。其輸出頻率及相位均可控制,且能輸出正弦波、余弦波、方波、鋸齒波等五種波形,經(jīng)過(guò)轉(zhuǎn)換后在示波器上顯示。經(jīng)控制能夠?qū)崿F(xiàn)保持、清零功能。除此之外,還能同時(shí)顯示出頻率控制字、相位控制字和輸出頻率的值。實(shí)驗(yàn)要求分析整個(gè)電路的工作原理,并分別說(shuō)明了各子模塊的設(shè)計(jì)原理,依據(jù)各模塊之間的邏輯關(guān)系,將各電路整合到一塊,形成一個(gè)總體電路。本實(shí)驗(yàn)在QuartusII環(huán)境下進(jìn)行設(shè)計(jì),并下載到SmartSOPC實(shí)驗(yàn)系統(tǒng)中進(jìn)行硬件測(cè)試。最終對(duì)實(shí)驗(yàn)結(jié)果進(jìn)行分析并總結(jié)出在實(shí)驗(yàn)過(guò)程中出現(xiàn)的問(wèn)題以及提出解決方案。關(guān)鍵詞:QuartusII直接數(shù)字頻率合成器波形頻率相位調(diào)節(jié)AbstractTheDirectDigitalFrequencySynthesizerisatechnologybasedonfullydigitaltechnique,afrequencycombinationtechniquesynthesesarequiredwaveformfromconceptofphase.ThisreportintroducesthedesigntothecompletionoftheprocessofdirectdigitalfrequencysynthesizerDDS.Theoutputfrequencyandphasecanbecontrolled,andcanoutputsine,cosine,trianglewave,squarewave,sawtoothwave,whicharedisplayedontheoscilloscopeafterconversation.Canbeachievedbythecontroltomaintainclearfunction.Furthercansimultaneouslydisplaythevalueofthefrequency,thephasecontrolwordandtheoutputfrequency.TheexperimentaldesignintheQuartusIIenvironment,thelasthardwaretestdownloadtoSmartSOPCexperimentalsystem.Thefinalresultswillbeanalyzed,thematterwillbeputforwardandthesettlingplancanbegivenatlast.Keywords:QuartusIIDirectDigitalFrequencySynthesizerwaveformFrequencyandphaseadjustment目錄一、設(shè)計(jì)內(nèi)容4二、設(shè)計(jì)原理42.1 DDS概念42.2 DDS的組成及工作原理4三、設(shè)計(jì)要求63.1基本要求63.2提高要求6四、設(shè)計(jì)內(nèi)容64.1分頻電路64.2頻率預(yù)置與調(diào)節(jié)電路104.3累加器124.4波形存儲(chǔ)器(ROM)134.5測(cè)頻電路194.6譯碼顯示電路214.7消顫電路224.8總電路23五、電路調(diào)試仿真與程序下載24六、示波器波形圖25七、實(shí)驗(yàn)中遇到的問(wèn)題及解決方法25八、電路改進(jìn)26九、實(shí)驗(yàn)感想28十、參考文獻(xiàn)28一、設(shè)計(jì)內(nèi)容設(shè)計(jì)一個(gè)頻率及相位均可控制的具有正弦和余弦輸出的直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡(jiǎn)稱DDFS或DDS)。二、設(shè)計(jì)原理2.1 DDS概念直接數(shù)字頻率合成器(DirectDigitalFrequencySynthesizer簡(jiǎn)稱DDFS或DDS)是一種基于全數(shù)字技術(shù),從相位概念出發(fā)直接合成所需波形的一種頻率合成技術(shù)。2.2 DDS的組成及工作原理DDS的基本組成結(jié)構(gòu)如下圖2-1所示,其主要由頻率預(yù)置與調(diào)節(jié)電路、累加器、波性存儲(chǔ)器(如正弦波數(shù)據(jù)表等)、D/A轉(zhuǎn)換器及低通濾波器等幾部分組成。fc圖2-1DDS整體電路工作原理圖其中相位累加器由N位加法器和N位寄存器構(gòu)成。每來(lái)一個(gè)時(shí)鐘clock,加法器就將頻率控制字f與累加寄存器輸出的累加相位數(shù)據(jù)相加,相加的結(jié)果又反饋送至累加寄control存器的數(shù)據(jù)輸入端,以使加法器在下一個(gè)時(shí)鐘脈沖的作用下繼續(xù)與頻率控制字相加。這樣,相位累加器在時(shí)鐘作用下,不斷對(duì)頻率控制字進(jìn)行線性相位累加。由此可以看出,相位累加器在每一個(gè)時(shí)鐘脈沖輸入時(shí),把頻率控制字累加一次,相位累加器輸出的數(shù)據(jù)就是合成信號(hào)的相位,相位累加器的溢出頻率就是DDS輸出的信號(hào)頻率。用相位累加器輸出的數(shù)據(jù)作為波形存儲(chǔ)器的相位取樣地址,這樣就可把存儲(chǔ)在波形存儲(chǔ)器內(nèi)的波形抽樣值(二進(jìn)制編碼)經(jīng)查找表查出,完成相位到幅值轉(zhuǎn)換。波形存儲(chǔ)器的輸出送到D/A轉(zhuǎn)換器,由D/A轉(zhuǎn)換器將數(shù)字信號(hào)轉(zhuǎn)換成模擬信號(hào)輸出,DDS信號(hào)波流程示意圖如圖2-2所示。圖2-2DDS工作流程示意圖由于相位累加器為N位,相當(dāng)于把正弦信號(hào)在相位上的精度定為N位,所以分辨率1為一。若系統(tǒng)時(shí)鐘頻率為f,頻率控制字f為1,則輸出頻率為2Nccontrolout2N這個(gè)頻率相當(dāng)于“基頻”若f為K,則輸出頻率為:controloutc2N當(dāng)系統(tǒng)輸入時(shí)鐘頻率f不變時(shí),輸出信號(hào)的頻率由頻率控制字K所決定。由上式可c得:2NfK=fc其中,K為頻率字,注意K要取整,有時(shí)會(huì)有誤差。選取ROM的地址時(shí),可以間隔選項(xiàng),相位寄存器輸出的位數(shù)D般取10-16位,這種截取方法稱為截?cái)嗍接梅?,以減少ROM的容量。D太大會(huì)導(dǎo)致ROM容量的成倍上升,而輸出精度受D/A位數(shù)的限制未有很大改善。圖2-3組裝模塊后的整體工作原理圖直接數(shù)字頻率合成器(DDS)實(shí)驗(yàn)報(bào)告三、設(shè)計(jì)要求3.1基本要求(1) 用QuartusII軟件和SmartSOPC實(shí)驗(yàn)箱實(shí)現(xiàn)DDS的設(shè)計(jì);(2) DDS中的波形存儲(chǔ)器模塊用Altera公司的Cyclone系列FPGA芯片中的ROM實(shí)現(xiàn),ROM結(jié)構(gòu)配置成4096X10類型;(3) 具體參數(shù)要求:頻率控制字K取4位;基準(zhǔn)頻率fc=lMHz,由實(shí)驗(yàn)板上的系統(tǒng)時(shí)鐘分頻得到;(4) 系統(tǒng)具有清零和使能的功能;(5) 利用實(shí)驗(yàn)箱上的D/A轉(zhuǎn)換器件將ROM輸出的數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào),能夠通過(guò)示波器觀察到正弦波形;(6) 通過(guò)開(kāi)關(guān)(實(shí)驗(yàn)箱上的Ki)輸入DDS的頻率和相位控制字,并能用示波器觀察加以驗(yàn)證。3.2提高要求(1) 通過(guò)按鍵(實(shí)驗(yàn)箱上的Si)輸入DDS的頻率和相位控制字,以擴(kuò)大頻率控制和相位控制的范圍;(注意:按鍵后有消顫電路)(2) 能夠同時(shí)輸出正余弦兩路正交信號(hào);(3) 在數(shù)碼管上顯示生成的波形頻率;(4) 充分考慮ROM結(jié)構(gòu)及正弦函數(shù)的特點(diǎn),進(jìn)行合理的配置,提高計(jì)算精度;(5) 設(shè)計(jì)能輸出多種波形(三角波、鋸齒波、方波等)的多功能波形發(fā)生器;(6) 基于DDS的AM調(diào)制器的設(shè)計(jì);(7) 自己添加其他功能。四、設(shè)計(jì)內(nèi)容4.1分頻電路硬件電路的內(nèi)部時(shí)鐘為48MHz,使用前必須將它分配至我們需要的0.5Hz、1Hz、2Hz、1KHz和1MHz。分頻電路由多個(gè)計(jì)數(shù)器組合而成,主要是由2分頻/3分頻/10分頻這三種基本分頻電路以不同形式組合構(gòu)成。各子分頻的輸出最好取計(jì)數(shù)器輸出的最高位,這樣分出的頻率脈沖占空比較大。下圖為總分頻電路流程圖:圖4T總分頻電路流程圖4.1.1二分頻電路二分頻電路由一個(gè)D觸發(fā)器及一個(gè)非門實(shí)現(xiàn),通過(guò)將D觸發(fā)器的Q和Q相連,在Q端即得到一連串的二分頻信號(hào),實(shí)驗(yàn)電路圖及封裝圖如下:圖4-2二分頻電路圖drv2div2(i圖4-3二分頻電路封裝圖其仿真波形為:圖4-4二分頻電路的仿真波形4.1.2三分頻電路三分頻電路通過(guò)74160用置數(shù)法實(shí)現(xiàn),其輸出端QQQQ按照0000t0001t0010DCBA的方式循環(huán)計(jì)數(shù)就可以對(duì)其輸入的脈沖進(jìn)行三分頻,輸出信號(hào)由0引出。其電路圖及封B裝圖如下圖所示:hslLDNABCL40QBDQCENTQDENPRCOCLRNCLKCOUNTER-護(hù):.:<:>:.:<:>:.:>:.:>:.:>:.:>:.:>:<.-:>:3ivd2-CIVd圖4-5三分頻電路圖圖4-6三分頻電路封裝圖其仿真波形為:IT:iiTieV:ilueat15.93ns畛0div3iA1a1div3oA03ps15.925nsJ40.0ns80.0ns120.0ns160.0ns200.0ns240.0ns280.0ns320.0nsr圖4-7三分頻電路的仿真波形4.1.3八分頻電路八分頻電路實(shí)際上就是三個(gè)二分頻電路相串聯(lián)而成,其電路圖及封裝圖如下圖所示:30圖4-8八分頻電路圖divSinst圖4-9八分頻電路封裝圖15.93ns0div8iA1妙111¥00A1其仿真波形為:ps80.0ns160.0ns240.0ns320.0ils400.0ns480.0ils560.0ns640.0ns720.0ils800.0ns880.0ils960.0njiiiiiiiiiiii15.925ns1i1I11J圖4-10八分頻電路的仿真波形4.1.4十分頻電路十分頻點(diǎn)路由一片74163和一個(gè)與非門得到,在1100時(shí)置數(shù)0011,計(jì)數(shù)器循環(huán)方式如下所示:0000T0001T0010T0011T0100T0101T0110T0111TJ1100J1011J1010J1001J1000圖4-11十分頻電路計(jì)數(shù)循環(huán)圖取最高位Q4為計(jì)數(shù)器的輸出端內(nèi)部結(jié)構(gòu)及其封裝圖如下圖所示:.芒打尹芒打芒0尹芒打/芒打尹住.div10idivlQidivlOoi纟Ifinst£羞圖4-12十分頻電路圖圖4-13十分頻電路封裝圖其仿真波形為:Jps80.0ns160.0ns240.0he320.0ns400.0ns480.0he560.0ns640.0ns720.0he800.0ns880.0ns960.0fiiiiiiiiiiiiN:aroe0ps3ps.0iA0.匚1口A0iiiiiii1iiiiiiiitiiiiiiiitiiiii1iiiiiiIIIIII1111111iiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiii圖4-14十分頻電路的仿真波形從波形仿真圖可以看出,10個(gè)脈沖信號(hào)為一個(gè)周期,且高低電平之比為1:1,符合要求。4.1.5千分頻電路1000分配電路實(shí)際上是三個(gè)10分頻電路相串聯(lián)得到的。其波形圖及封裝圖如下圖:圖4-151000分頻電路圖divKlOOidiv1QQOdirtst圖4-161000分頻電路封裝圖其仿真波形為:101djqrlOOOi圖4-171000分頻電路的仿真波形4.1.6總分頻電路總分頻電路圖及封裝圖如圖所示:圖4-18總分頻電路圖圖4-19總分頻電路封裝圖4.2頻率預(yù)置與調(diào)節(jié)電路頻率預(yù)置與調(diào)節(jié)電路的主要作用是實(shí)現(xiàn)頻率控制量的輸入,不變量K為相位增量,Kf也叫頻率控制字。DDS的輸出頻率表達(dá)式為f=-厶,當(dāng)K=1時(shí),DDS輸出最低頻率out2N(也即頻率分辨率)為f,而DDS的最高輸出頻率由Nyquist采樣定理決定,即f,也就是說(shuō)K的最大值為2N-1。設(shè)計(jì)頻率調(diào)節(jié)模塊時(shí),采用74160設(shè)計(jì)模32計(jì)數(shù)器來(lái)產(chǎn)生頻率控制字,1Hz信號(hào)輸入讓其變化,目的是為了使輸出頻率的調(diào)節(jié)范圍更大一些。該模塊為了節(jié)省開(kāi)關(guān)以后續(xù)可控制波形選擇輸出,設(shè)有清零保持端,由開(kāi)關(guān)控制,以便計(jì)數(shù)到需要值時(shí)保持或清零。輸出為8位BCD碼,高四位是十位,低四位是個(gè)位。此時(shí)要在計(jì)數(shù)器后接一個(gè)碼轉(zhuǎn)換電路,將BCD碼轉(zhuǎn)換為二進(jìn)制碼,再輸入累加器進(jìn)行累加。同樣的可以設(shè)計(jì)出相位調(diào)節(jié)模塊。需要注意的是,這里的加法器是12位的,因此要將頻率控制字作為12位地址的低7位輸出,高5位賦值為0,這樣可以使頻率調(diào)節(jié)的精度更高。而相位控制字要作為12位地址的高7位輸出,低5位賦值為0,這樣可以使相位調(diào)節(jié)幅度變大。最好讓相位增量和相位控制字通過(guò)寄存器,時(shí)鐘為1MHz的系統(tǒng)時(shí)鐘,使得電路同步工作。模32電路圖、BCD碼至二進(jìn)制碼的轉(zhuǎn)換電路圖以及頻率相位同步圖如下所示:圖4-20模32計(jì)數(shù)器電路圖W二I、洱T-.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.v.'.v.v.-.v.v.v.v.v.v.v.v.v.-.v.v.vJ.vTivTI'.v.v.-.v-.毆s跑、J-f-|>L.ABC¥4DY5EYEGNY7¥874184;GD:泊BCD-BINARYibint.iY1ABy.CY4DYSEY&GNY7YSr:2:.x74184mt-BCD-BINARY訂聽(tīng)!盒圖4-21BCD碼至二進(jìn)制碼的轉(zhuǎn)換電路圖1D1Q2D2Q2D3Q4D4Q5D5Q6DSQCLRNCLK74174REGISTER1D1Q2D2Q3D3Q4D4Q5DSQ6D&QCLRNCLK74174instiREGISTER君二Q二bine.O>(110:Imlu:|、比匹=圖4-22頻率相位同步圖其封裝圖如圖所示:圖4-23頻率相位封裝圖圖4-24累加器流程圖4.3累加器相位累加器由12位加法器與12位寄存器級(jí)聯(lián)構(gòu)成,如下圖所示。fc每來(lái)一個(gè)時(shí)鐘脈沖,加法器就將頻率控制字K與累加寄存器輸出的累加相位數(shù)據(jù)相加,相加的結(jié)果又反饋送至累加寄存器的數(shù)據(jù)輸入端,寄存器將加法器的上一個(gè)時(shí)鐘作用后所產(chǎn)生的相位數(shù)據(jù)反饋至加法器的輸入端,以使加法器在下一個(gè)時(shí)鐘脈沖的作用下繼續(xù)與頻率控制字相加。這樣,相位累加器在時(shí)鐘作用下,不斷對(duì)頻率控制字進(jìn)行線性相位累加。當(dāng)相位累加器累加滿量時(shí)就會(huì)產(chǎn)生一次溢出,完成一個(gè)周期性的動(dòng)作。累加器電路圖及封裝圖如下圖所示:豈074174IDIQ2D2Q3D3Q4D4Q5D5Q6D6QCLRNCLK7s4*4心hnet3”REGISTER,y61s6lyijvs7.¥P-XA三二y3.V'-s9'/K-A=::-:J<-.1mhz.-.74174ID1Q2D2Q3D3Q4D4Q5D5Q6D6QCLRNCLK.:-+:-*;-<i1f.曲訕圖4-25累加器電路圖leijiaqi1mhzinst圖4-26累加器電路封裝圖4.4波形存儲(chǔ)器(ROM)波形存儲(chǔ)器的原理圖如圖所示:圖4-27波形存儲(chǔ)器的原理圖波形存儲(chǔ)器的相位取樣地址來(lái)自于相位累加器輸出的數(shù)據(jù)這樣就可把存儲(chǔ)在波形存儲(chǔ)器內(nèi)的波形抽樣值(二進(jìn)制編碼)經(jīng)查找表查出,完成相位到幅值轉(zhuǎn)換。同時(shí),波形存儲(chǔ)器中還可存放不同類種波形的地址,例如正弦波、余弦波、方波、矩形波、鋸齒波、三角波等。為了能讓電路輸出五種波形,使用五塊不同的波形存儲(chǔ)器分別存放這五種波形一個(gè)周期內(nèi)的數(shù)據(jù)??紤]到硬件包括兩塊相同的DAC板,正弦波的輸出值直接在DACO板上,其余四種波的輸出值經(jīng)過(guò)一個(gè)四選一數(shù)據(jù)選擇器,由兩個(gè)開(kāi)關(guān)控制選擇波形輸出到DAC1板。LPM_ROM的設(shè)定則由mif文件產(chǎn)生五個(gè)ROM的數(shù)據(jù)值。在元器庫(kù)種選取LPM_ROM,設(shè)置ROM信息,數(shù)據(jù)寬度為lObits,數(shù)據(jù)個(gè)數(shù)為4096,設(shè)置ROM為寄存器輸出,指定ROM的初始化數(shù)據(jù)來(lái)源,選擇由MATLAB軟件生成的mif文件,然后點(diǎn)擊“finish”即可生成ROM單元。MegaWizardPlug-InManagerpage2cSelectedMegafunctions:|LPMROMWhichtypeofoutputfiledoyouwanttocreate?CAHDLGVHDLCVerilogHDLWhatnamedoyouwantfortheoutputfile?Browse.|D:70quartuswindowsquartusddssinromNote:TocompileaprojectsuccessfullyintheQuartusIIsoftware,yourdesignfilesmustbeintheprojectdirectory,intheglobaluserlibrariesspecifiedintheOptionsdialogbox(Toolsmenu),orauserlibraryspecifiedintheUserLibrariespageoftheSettingsdialogbox(Assignmentsmenu).Yourcurrentuserlibrarydirectoriesare:廠Don'taskmeforanoutputfilenameortheoutputfileformatagain.Infuture,nameoutputfilesautomaticallyandusethecurrentoutputfileformat.(Note:YoucanturntheBlockEditor'sautonamingandautoformatselectiononandoffwiththeOptionscommandintheToolsmenu.)CancelI”Next|圖4-28設(shè)置LPM_ROM方法4.4.1各波形對(duì)應(yīng)mif文件的生成%存儲(chǔ)單元數(shù);%數(shù)據(jù)寬度為10位;(1) 正弦波depth=4096;widths=10;N=0:1:4096;s=sin(pi*N/2048);fidc=fopen('sin.mif','wt');%計(jì)算0pi/2的Sin值;%以"wt"的形式打開(kāi)八n為換行%寫(xiě)入dds.mif%fprintf(fidc,'depth=%d;n',depth);fprintf(fidc,'width=%d;n',widths);fprintf(fidc,'address_radix=dec;n');fprintf(fidc,'data_radix=dec;n');fprintf(fidc,'ContentBeginn');for(x=1:depth)fprintf(fidc,'%d:%d;n',x-1,round(2047*sin(pi*(x-1)/2048)+2048);endfprintf(fidc,'end;');fclose(fidc);k=1:1:depth;plot(k,(2047*sin(pi*(k-1)/2048)+2048);%畫(huà)圖圖4-29matlab生成正弦波圖形(2) 余弦波depth=4096;widths=10;N=0:1:4096;%存儲(chǔ)單元數(shù);%數(shù)據(jù)寬度為10位;s=cos(pi*N/2048);%計(jì)算0pi/2的cos值;fidc=fopen('cos.mif','wt');%以"wt"的形式打開(kāi),n為換行%寫(xiě)入dds.mif%fprintf(fidc,'depth=%d;n',depth);fprintf(fidc,'width=%d;n',widths);fprintf(fidc,'address_radix=dec;n');fprintf(fidc,'data_radix=dec;n');fprintf(fidc,'ContentBeginn');for(x=1:depth)fprintf(fidc,'%d:%d;n',x-1,round(2047*cos(pi*(x-1)/2048)+2048);endfprintf(fidc,'end;');fclose(fidc);k=1:1:depth;plot(k,round(2047*cos(pi*(k1)/2048)+2048)%畫(huà)圖圖4-30matlab生成余弦波圖形(3) 方波depth=4096;widths=10;forn=1:2048s(n)=4095;s(n+2048)=1;end%存儲(chǔ)單元數(shù);%數(shù)據(jù)寬度為10位;fidc=fopen('fb.mif','wt');%以"wt"的形式打開(kāi),n為換行%寫(xiě)入dds.mif%fprintf(fidc,'depth=%d;n',depth);fprintf(fidc,'width=%d;n',widths);fprintf(fidc,'address_radix=dec;n');fprintf(fidc,'data_radix=dec;n');fprintf(fidc,'ContentBeginn');forx=1:1:depthfprintf(fidc,'%d:%d;n',x-1,s(x);endfprintf(fidc,'end;');fclose(fidc);k=1:1:depth;plot(k,round(s)%畫(huà)圖5K-1SQ02KflJDW35404K-4拓口圖4-31matlab生成方波圖形(4)鋸齒波depth=4096;%存儲(chǔ)單元數(shù);widths=10;%數(shù)據(jù)寬度為10位;forn=1:2048s(n)=n;s(n+2048)=n;endfid=fopen('jcb.mif','wt');%以"wt"的形式打開(kāi),n為換行%寫(xiě)入dds.mif%fprintf(fid,'depth=%d;n',depth);fprintf(fid,'width=%d;n',widths);fprintf(fid,'address_radix=dec;n');fprintf(fid,'data_radix=dec;n');fprintf(fid,'ContentBeginn');for(x=1:depth)fprintf(fid,'%d:%d;n',x-1,s(x);endfprintf(fidc,'end;');fclose(fidc);k=1:1:depth;plot(k,round(s)圖4-32matlab生成鋸齒波圖形(5)三角波depth=4096;%存儲(chǔ)單兀數(shù);widths=10;%數(shù)據(jù)寬度為10位;forn=1:2048s(n)=2*(n-1);s(n+2048)=2*(2048-n);endfidc=fopen('sjb.mif','wt');%以"可七"的形式打開(kāi),n為換行%寫(xiě)入dds.mif%fprintf(fidc,'depth=%d;n',depth);fprintf(fidc,'width=%d;n',widths);fprintf(fidc,'address_radix=dec;n');fprintf(fidc,'data_radix=dec;n');fprintf(fidc,'ContentBeginn');for(x=1:depth)fprintf(fidc,'%d:%d;n',x-1,s(x);endfprintf(fidc,'end;');fclose(fidc);k=1:1:depth;plot(k,round(s)圖4-33matlab生成三角波圖形4.4.2五種波形存儲(chǔ)器的封裝圖及選擇電路圖lpm_muxOsinromaddress11.Oclockc&.0LsiriS/Cd日怕:M9.01d日怕2x0.01d日怕(M9.01irt5t5inst3圖4-34五種波形存儲(chǔ)器的封裝圖及選擇電路圖4.5測(cè)頻電路4.5.1測(cè)頻電路原理數(shù)碼管的右邊四位用來(lái)輸出頻率控制字與相位控制字,左邊四位用來(lái)顯示相應(yīng)的波形頻率,需設(shè)計(jì)測(cè)頻電路來(lái)測(cè)量頻率。測(cè)頻就是計(jì)算1秒鐘內(nèi)脈沖的個(gè)數(shù)??梢岳糜?jì)數(shù)器和鎖存器實(shí)現(xiàn)這一功能。通過(guò)觀察可知,每當(dāng)輸出一個(gè)周期的波形時(shí),波形數(shù)據(jù)輸出的最高位也變化一周期,因此可以用它q9來(lái)表征輸出波形的頻率。測(cè)頻電路原理圖如下圖所示:圖4-35測(cè)頻電路原理圖使用模10000的計(jì)數(shù)器來(lái)統(tǒng)計(jì)輸出波形的頻率,時(shí)鐘即為測(cè)頻脈沖。將0.5Hz脈沖送入鎖存器的時(shí)鐘端,0.5Hz反相延時(shí)后的脈沖送入計(jì)數(shù)器的清零端。這樣就使計(jì)數(shù)器在2s的脈沖周期內(nèi),1s內(nèi)清零,1s內(nèi)計(jì)數(shù)。由于鎖存器的脈沖和計(jì)數(shù)器的脈沖是反相的,且有一定的延時(shí),所以當(dāng)鎖存器有效脈沖來(lái)到時(shí),計(jì)數(shù)器是計(jì)數(shù)的末狀態(tài),鎖存器就鎖存前1S內(nèi)計(jì)數(shù)器的計(jì)數(shù)信號(hào)。這樣就完成了1s內(nèi)的脈沖計(jì)數(shù),再將鎖存器的輸出送入譯碼顯示電路,就可以在數(shù)碼管上顯示波形頻率了。4.5.2測(cè)頻電路及封裝圖74160*LDNABQACQBDQCENTQDENPRCOCLRNCLKCOUNTER.IsehiihzXklk二X741731D2D3D4DG1NG2NMNNNCLRCLK1D2Db23D4DG1NG2N3Q4QCLRCLK1CL2QMNNNa1T:半T:bOJ.ijns.t5REGISTERsemihz74160LDNABQACQBDQCENTQDENPRCOCLRNCLKCOUNTER74173聊1D2D3D4DG1NG2忖1Q2QMNNNinstSREGISTER74160剛:b.1Jb2lb3|.XLDNABQACQBDQCENTQDENPRCOCLRNCLKCOUNTER叩圖咽,宀kFLFLrrLF.semihzCLR74173CLK1D2D期;cl.'IQ2Q4QTWWinstTREGISTER74160LDNABQACQBDQCEMTQDENPRCOCLRNCLKCOUNTERr?-L0LLrFL.semihz.3D4DG1NG2NCLRMNNN74173CLK諭>期IQ204QinstSREGISTERihz觸f“.K=emihz二ra3.0ifb3.0ifdl3.O何1記2rdO|圖4-36測(cè)頻電路圖elks&mihz呵3.匚.seraihc.cepindianluinst4圖4-37測(cè)頻電路封裝圖4.6譯碼顯示電路4.6.1譯碼顯示電路原理DIGO圖4-38譯碼顯示電路原理圖DIG1DIG2DIG3DIG4DIGS顯示電路主要由數(shù)據(jù)選擇器74151、譯碼器74138、計(jì)數(shù)器、顯示譯碼器7447和數(shù)碼顯示管組成,計(jì)數(shù)器74161設(shè)計(jì)為模8的循環(huán)計(jì)數(shù)器,其輸出既作為四片74151的控制端,又作為3-8譯碼器74138的控制端。當(dāng)計(jì)數(shù)器計(jì)數(shù)到某一個(gè)數(shù)值時(shí),四片74151同時(shí)選取對(duì)應(yīng)位的輸入組成計(jì)時(shí)器某一位的BCD編碼,接入顯示譯碼器7447,與此同時(shí)根據(jù)計(jì)數(shù)器的數(shù)值,74138譯碼器也通過(guò)數(shù)碼管的使能端選擇對(duì)應(yīng)位有效,從而在實(shí)驗(yàn)箱上顯示數(shù)據(jù)。掃描的頻率為1KHz,因?yàn)槿搜鄣囊曈X(jué)停留,會(huì)感覺(jué)七個(gè)數(shù)碼管同時(shí)顯示。4.6.2譯碼顯示電路圖74161741617416174151O0wpipfpWABCDOD1D2D3D4D5D6D7YWNMULTIPLEXER74160fWIDOOhz.T-£.i*汽二!xphi!XpM111Xfblj;='X二;::.ABCDOD1D2D3D4D5D6D7GNYWNF-.£.Xil2fh2Wfb2.gnd.ABCDOD1D2D3D4D5D6D7GNYWNinst2MULTIPLEXERb-Xil3:込血3:W:lb3:Id3渦ABCDOD1D2D3D4D5D6D7GNYWNph30:型3.0圖MULTIPLEXERfd3.OI6XHZ','czz>3£-LDNABQACQBDQCENTQDENPRCOCLRNCLK:iiet.10countcr3.b74138YONAY1NBY2NICY3NG1Y4NG2ANY5NG2BNY6NY7NI1113:5DECODER遞;:T::_t$:2:::注:-3::-:777::TTT::-訊y27447AOABOBCOCDODLTNOERBINOFBINOGRBOMTBCDTO7SEG::圖4-39譯碼顯示電路圖digp.-O.圖4-40譯碼顯示電路封裝圖4.7消顫電路消顫開(kāi)關(guān)由D觸發(fā)器構(gòu)成,利用D觸發(fā)器鎖存開(kāi)關(guān)的動(dòng)作信號(hào),并且屏蔽抖動(dòng)。消顫電路如下所示:圖4-41消顫電路圖ko5.Dl.X.Ikhz.:Xki5.<qX圖4-42消顫電路封裝圖4.8總電路總電路如下圖4-41所示::ko3.1mhzkcpPP-.Okpph3.Qktkefothersout9.0fh3.O1hzfl3.OImfizsineout9.(k1.Opinlvxiangweiirtst3!aslqS-OjLfti3.O!*PtS-'lp二%:j廠嘉匸呢"紅汗也j證訂蔽匿圖4-43總電路圖五、電路調(diào)試仿真與程序下載每部分模塊設(shè)計(jì)好后均需對(duì)其仿真。波形仿真正確無(wú)誤后,就可以下載到芯片上,進(jìn)行硬件實(shí)施。在下載之前,必須先對(duì)每個(gè)管腳進(jìn)行分配。選擇“Assignments-Pins"中的“PinPlanner"對(duì)話框,每個(gè)管腳都配置完成后,點(diǎn)擊“Save"保存配置并關(guān)閉窗口。把未使用的管腳設(shè)置為高阻抗?fàn)顟B(tài),之后下載,在硬件上觀察波形和數(shù)據(jù),驗(yàn)證程序是否正確。管腳分配如下:NodeName/DirectionLocationI/OBankVrefGroupI/OStandardReserved|Group1»48mhzInputPINA107B7N02.5V(default)2dalmodeOutputPINF68B8N02.5V(default)3da2modeOutputPINH61BlN02.5V(default)4adadkOutputPINA28B8N02.5V(default)5adigOOutputPINH156B6N02.5V(default)dig7.O6diglOutputPINE147B7N02.5V(default)dig7.O7dig2OutputPINA187B7N02.5V(default)dig7.O30digHOutputPIND167B7N02.5V(default)dig7.O9adig4OutputPINL145B5N02.5V(default)dig7.O100dig5OutputPINL165B5N02.5V(default)dig7.O11adig6OutputPINH166B6N02.5V(default)dig7.0|12dig7OutputPINJ136B6N02.5V(default)dig7.0|13»ki0InputPINV93B3N02.5V(default)ld5.014kilInputPINU104B4N02.5V(default)ld5.015PIdaInputPINB98B8N02.5V(default)ki5.O16»kiHInputPINB107B7N02.5V(default)ki5.0|17Aki4InputPINR185B5N02.5V(default)ki5.018Aki5InputPINR175B5N02.5V(default)ki5.019jp0OutputPINP12B2N02.5V(default)P9-020plOutputPINM12B2N02.5V(default)p9.O21jpHOutputPINL12B2N02.5V(default)p9.O22jPHOutputPINK12B2N02.5V(default)PB-.O23jPMOutputPINH11BlN02.5V(default)p9.O24jp5OutputPING11BlN02.5V(default)p9.O25jp同OutputPINE11BlN02.5V(default)p9.O26p7OutputPINC11BlN02.5V(default)p9.O圖5-1管腳分配圖六、示波器波形圖示波器波形圖如下圖所示:圖6-1示波器波形圖(部分)七、實(shí)驗(yàn)中遇到的問(wèn)題及解決方法本科三年級(jí)的時(shí)候我們專業(yè)學(xué)過(guò)可編程邏輯器件這門課,當(dāng)時(shí)對(duì)這門課很感興趣,初次接觸QuartusII軟件,發(fā)現(xiàn)這個(gè)軟件和MAXPlusII軟件有很多相似之處。在做實(shí)驗(yàn)之前,我用周末的時(shí)間自學(xué)了QuartusII軟件。實(shí)驗(yàn)過(guò)程中,在將程序下載到實(shí)驗(yàn)箱之前我對(duì)每個(gè)部分都做了波形仿真,仿真的結(jié)果也是正確的,但出乎意料的是程序下載到實(shí)驗(yàn)箱以后結(jié)果卻是錯(cuò)的,不過(guò)倒是可以通過(guò)實(shí)驗(yàn)結(jié)果找出可能出現(xiàn)錯(cuò)誤的地方,這是讓我覺(jué)得收獲很大的經(jīng)驗(yàn)。數(shù)碼管是可以顯示數(shù)字的,說(shuō)明錯(cuò)誤不在顯示模塊,最終發(fā)現(xiàn)主要問(wèn)題是測(cè)頻電路的問(wèn)題。影響測(cè)頻電路輸出的主要有三方面因素:一方面是輸入0.5Hz的頻率,一方面是測(cè)頻電路內(nèi)部電路設(shè)計(jì)合理性問(wèn)題,最后是輸入時(shí)鐘信號(hào)。0.5Hz與分頻電路設(shè)計(jì)合理性有關(guān),最好采用同步分頻電路。輸入時(shí)鐘信號(hào)可有多種選擇途徑,一種是選擇累加器的溢出信號(hào),一種是選擇q9作為輸入信號(hào)。處于穩(wěn)定性考慮,選擇q9作為輸入信號(hào)最佳。八、電路改進(jìn)考慮到正弦波、余弦波、方波、鋸齒波和三角波在一個(gè)周期內(nèi)的波形都具有很強(qiáng)的對(duì)稱性,可以在ROM中只存儲(chǔ)1/4周期的波形,剩下3/4周期的波形可以利用對(duì)稱性,通過(guò)前1/4周期的波形計(jì)算得到。各取正弦波、余弦波、方波、鋸齒波、三角波的前1/4周期數(shù)據(jù),整合到一個(gè)深度為4096、位數(shù)為10的ROM中。這樣輸出不同波形時(shí)所查找的ROM相同,大大節(jié)省了ROM的空間。因?yàn)椴檎?/4周期波形的數(shù)據(jù)只需要低10位地址即可,高2位地址可以通過(guò)置數(shù)來(lái)選擇要查找的波形,如表8-1所示。再對(duì)取反操作后的ROM輸出值進(jìn)行一個(gè)選擇的組合,通過(guò)LPM_ROM這個(gè)模塊來(lái)實(shí)現(xiàn),最后輸出完整的正弦波形。表8-1最咼兩位與輸出關(guān)系a11a10地址、數(shù)值操作rom輸出00地址、數(shù)值均不取反sin1101地址取反,數(shù)值不變sin2210地址不表,輸出取反sin3311地址、數(shù)值均取反sin44取反電路是由9個(gè)非門構(gòu)成的,其電路圖及封裝圖如下:i|>菲.二圖8-1取反電路圖LPM_ROM1空間里存放著的是四分之一周期的數(shù)據(jù)地址及其對(duì)應(yīng)著的數(shù)值,sinll9.O代表著的是第一個(gè)1/4周期的波形數(shù)值;sin229.O代表著的是第二個(gè)1/4周期的波形數(shù)值,其先進(jìn)行了地址取反;sin339.O代表著的是第三個(gè)1/4周期的波形數(shù)值,其進(jìn)行了數(shù)值取反的操作;sin449.O代表著的是第四個(gè)1/4周期的波形數(shù)值,其不僅地址取反而且數(shù)值也取反了。將四個(gè)1/4周期的修改后的sin波形作為L(zhǎng)PM_ROM的輸入,ksine1.O作為選擇并輸出,最終輸出的sin9.O為四段組合后的正弦波形。!ipmornV?:inst!address9.Oclockq9lpmi_muxOqufandianlu:q9.()1:!;X.Ji9-0o9.0Ipmom1Iaddress9.O小jBr229.ej>cluckc-01x-xx-xsine449.O.:sire22j.O;.:data3用9.Hdat自2x【9.Hdata.1x【9.(Hdata(M9.(Hinst!iirtst12:irtstS圖8-2四片ROM存儲(chǔ)電路九、實(shí)驗(yàn)感想本科三年級(jí)的時(shí)候我們專業(yè)學(xué)過(guò)可編程邏輯器件這門課,當(dāng)時(shí)對(duì)這門課很感興趣,初次接觸QuartusII軟件,發(fā)現(xiàn)這個(gè)軟件和MAXPlusII軟件有很多相似之處。在第一節(jié)課上,花漢兵老師花了很多時(shí)間給我們講解了QuartusII軟件的使用,還布置了本周實(shí)驗(yàn)的內(nèi)容及要求,在一個(gè)星期的操作、檢查和修改中,我漸漸熟悉了對(duì)QuartusI軟件的使用方法,也逐漸掌握了直接數(shù)字頻率合成器設(shè)計(jì)的原理和思路。這次實(shí)驗(yàn)是我第一次獨(dú)立完成可編程邏輯器件的使用,個(gè)人覺(jué)得實(shí)驗(yàn)中用原理圖來(lái)實(shí)現(xiàn)整個(gè)方案而不是用VHDL語(yǔ)言,可以更容易理解可編程邏輯器件的工作原理。雖然大部分開(kāi)發(fā)設(shè)計(jì)工作都是使用VHDL語(yǔ)言,但是原理圖設(shè)計(jì)的學(xué)習(xí)卻是不可缺少的一部分。相比TI公司的MSP430系列,雖然可以很容易地用它來(lái)實(shí)現(xiàn)所需要的功能,但是卻不知道其內(nèi)部是如何工作的。這次實(shí)驗(yàn)不僅僅是自己對(duì)本科所學(xué)數(shù)電知識(shí)的一次回顧,更是將所學(xué)的知識(shí)運(yùn)用到實(shí)際當(dāng)中去的一次實(shí)踐。在開(kāi)始設(shè)計(jì)分頻器的初期,我就復(fù)習(xí)了例如D觸發(fā)器、74160等元器件的功能和作用,回顧了二分頻電路和三分頻電路;在計(jì)數(shù)器設(shè)計(jì)部分,自己又回顧了同步計(jì)數(shù)和異步計(jì)數(shù)的差異,學(xué)會(huì)用已學(xué)器件設(shè)計(jì)不同模的計(jì)數(shù)器。這次實(shí)驗(yàn)讓我對(duì)QuartusI軟件的使用更加熟練,讓我鞏固了數(shù)電的相關(guān)知識(shí),更重要的是通過(guò)這一個(gè)多星期的學(xué)習(xí)、實(shí)驗(yàn),我在一次次失敗中總結(jié)了教訓(xùn),學(xué)會(huì)了分析和解決問(wèn)題,培養(yǎng)了分析和動(dòng)手能力,讓我在以后遇到其他問(wèn)題時(shí)也能通過(guò)自己的努力找到答案。希望以后能有更多這種動(dòng)手實(shí)驗(yàn)的機(jī)會(huì),能真正將知識(shí)與實(shí)踐相結(jié)合,在實(shí)踐中不斷培養(yǎng)自己的能力。十、參考文獻(xiàn)1 蔣立平,姜萍,譚雪琴,花漢兵數(shù)字邏輯電路與系統(tǒng)設(shè)計(jì)北京電子工業(yè)出版社2008.2 EDA設(shè)計(jì)實(shí)驗(yàn)指導(dǎo)書(shū)南京理工大學(xué)電子技術(shù)中心2008.3 蔣立平數(shù)字電路南京理工大學(xué)出版社.4 周立功EDA實(shí)驗(yàn)與實(shí)踐北京:北京航空航天大學(xué)

注意事項(xiàng)

本文(DDS 直接數(shù)字頻率合成器實(shí)驗(yàn)報(bào)告(DOC))為本站會(huì)員(siy****11)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!