歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于SOPC的彩燈控制器設(shè)計(jì)課程設(shè)計(jì).doc

  • 資源ID:116534922       資源大?。?span id="iu0zkev" class="font-tahoma">167.95KB        全文頁(yè)數(shù):20頁(yè)
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

基于SOPC的彩燈控制器設(shè)計(jì)課程設(shè)計(jì).doc

湖南工程學(xué)院課 程 設(shè) 計(jì)課程名稱 嵌入式系統(tǒng)課程設(shè)計(jì) 課題名稱 基于SOPC的彩燈控制器設(shè)計(jì) 專 業(yè) 電子科學(xué)與技術(shù) 班 級(jí) 0000 學(xué) 號(hào) 00 姓 名 指導(dǎo)教師 00000 2013 年 11 月 4 日 設(shè)計(jì)內(nèi)容與設(shè)計(jì)要求一 設(shè)計(jì)內(nèi)容:采用SOPC技術(shù)設(shè)計(jì)一個(gè)彩燈控制器;1、 功能要求1) 配置NIOS II軟核系統(tǒng);2) 在基于Eclipse的Nios 集成開發(fā)環(huán)境 (IDE)上開發(fā)彩燈控制器3) 至少實(shí)現(xiàn)8個(gè)LED,3種以上的花色。2、 功能擴(kuò)展自擬二 設(shè)計(jì)要求:1 設(shè)計(jì)思路清晰,給出整體設(shè)計(jì)框圖2 NIOS II處理器選擇配置合理;3 仿真 、調(diào)試、驗(yàn)證電路模塊;4 撰寫設(shè)計(jì)報(bào)告。主要設(shè)計(jì)條件1. PC機(jī)電腦; 2. ED1 SOPC實(shí)驗(yàn)開發(fā)系統(tǒng)。說明書格式1、 課程設(shè)計(jì)封面;2、 課程設(shè)計(jì)任務(wù);3、 說明書目錄;4、 設(shè)計(jì)總體方案;5、 系統(tǒng)基本原理分析;6、 軟件設(shè)計(jì);7、 系統(tǒng)調(diào)試;8、 課程設(shè)計(jì)總結(jié)9、 參考文獻(xiàn);10、 課程設(shè)計(jì)成績(jī)?cè)u(píng)分表。進(jìn) 度 安 排第一周 星期一 上午 安排任務(wù)、講課。 星期一 下午- 星期二 下午 查資料、設(shè)計(jì)星期三開始 軟件設(shè)計(jì)仿真第二周 星期三星期四 1、 調(diào)試驗(yàn)收2、 寫課程設(shè)計(jì)報(bào)告書 星期五 答辯地 點(diǎn):嵌入式微處理器及SOPC實(shí)驗(yàn)室參 考 文 獻(xiàn)1、SOPC 嵌入式系統(tǒng)基礎(chǔ)教程 北京航空航天出版社出版2、SOPC技術(shù)與應(yīng)用機(jī)械工業(yè)出版社3、SOPC 技術(shù)實(shí)用教程 清華大學(xué)出版社4、挑戰(zhàn)SOC - - 基于NIOSII的SOPC設(shè)計(jì)與實(shí)踐 清華大學(xué)出版社5、NiosII嵌入式軟核SOPC設(shè)計(jì)原理及應(yīng)用 北京航空航天大學(xué)出版社6、FPGA與SOPC設(shè)計(jì)教程-DE2實(shí)踐 西安電子科技大學(xué)出版社目錄摘要1第一章 緒論21.1 SOPC概述21.1.1 功能簡(jiǎn)介21.1.2 SOPC對(duì)的發(fā)展過程21.1.3 SOPC的優(yōu)勢(shì)31.2 NIOS II工具基本模塊介紹3第二章 彩燈控制器的設(shè)計(jì)42.1 設(shè)計(jì)要求42.1.1 設(shè)計(jì)任務(wù)42.1.2 設(shè)計(jì)內(nèi)容42.1.3 設(shè)計(jì)要求42.2 設(shè)計(jì)思路42.2.1 設(shè)計(jì)流程圖42.2.2 設(shè)計(jì)原理5第三章 程序設(shè)定與分析53.1 時(shí)序控制部分53.2 發(fā)光二極管、數(shù)碼管顯示及揚(yáng)聲器控制程序63.2.1 花型A顯示程序63.2.2 花型B顯示程序6第四章 波形仿真分析74.1 花型A波形仿真74.2 花型B波形仿真84.3 花型B波形仿真9心得體會(huì)11參考文獻(xiàn)12摘要 21世紀(jì),電子技術(shù)迅猛發(fā)展,高薪技術(shù)日新月異。傳統(tǒng)的設(shè)計(jì)方法正逐步退出史的舞臺(tái),取而代之的是基于EDA技術(shù)的芯片設(shè)計(jì)技術(shù),它正在成為電子系統(tǒng)設(shè)計(jì)的主流。大規(guī)模可編程器件現(xiàn)場(chǎng)可編程門陣列SOPC和復(fù)雜可編程邏輯器件CPLD是當(dāng)今應(yīng)用最廣泛的兩類可編程專用集成電路。 近年來,EDA技術(shù)高速發(fā)展使現(xiàn)代電子產(chǎn)品向著功能多樣化,體積最小化,功耗最低化的方向發(fā)展。 它與傳統(tǒng)電子產(chǎn)品在設(shè)計(jì)上的顯著優(yōu)勢(shì)就是:第一大量使用大規(guī)模可編程邏輯 器 件,以 提 高 產(chǎn)品 性 能,縮 小 產(chǎn) 品 體 積,降 低 功 耗。第二是廣泛運(yùn)用現(xiàn)代化計(jì)算機(jī)技術(shù),以提高電子設(shè)計(jì)自動(dòng)化程度,縮短開發(fā)周期,提高產(chǎn)品的競(jìng)爭(zhēng)力。 所以掌握這方面的應(yīng)用極其重要,本題目就是基于SOPC這一技術(shù)完成實(shí)現(xiàn)的。 眾所周知,彩燈、流水燈、裝飾燈等在日常生活和商業(yè)都有極其廣泛的應(yīng)用。具有很高的商業(yè)價(jià)值和研究?jī)r(jià)值。而對(duì)于越來越變化多端要求極高的燈飾行業(yè),相對(duì)傳統(tǒng)的單片機(jī)來說,SOPC的性價(jià)比越來越高,功能強(qiáng)大能輕松的完成對(duì)彩燈的控制。 本題目基于SOPC技術(shù)設(shè)計(jì)彩燈控制器。初步實(shí)現(xiàn)SOPC技術(shù)在燈飾行業(yè)的簡(jiǎn)單應(yīng)用,為深入提供了一些有價(jià)值的參考資料。 第一章 緒論 1.1 SOPC概述 System-on-a-Programmable-Chip,即可編程片上系統(tǒng)。 用可編程邏輯技術(shù)把整個(gè)系統(tǒng)放到一塊硅片上,稱作SOPC??删幊唐舷到y(tǒng)(SOPC)是一種特殊的嵌入式系統(tǒng):首先它是片上系統(tǒng)(SOC),即由單個(gè)芯片完成整個(gè)系統(tǒng)的主要邏輯功能;其次,它是可編程系統(tǒng),具有靈活的設(shè)計(jì)方式,可裁減、可擴(kuò)充、可升級(jí),并具備軟硬件在系統(tǒng)可編程的功能。1.1.1 功能簡(jiǎn)介 SOPC設(shè)計(jì)技術(shù)涵蓋了嵌入式系統(tǒng)設(shè)計(jì)技術(shù)的全部?jī)?nèi)容,除了以處理器和實(shí)時(shí)多任務(wù)操作系統(tǒng)(RTOS)為中心的軟件設(shè)計(jì)技術(shù)、以PCB和信號(hào)完整性分析為基礎(chǔ)的高速電路設(shè)計(jì)技術(shù)以外,SOPC還涉及以引起普遍關(guān)注的軟硬件協(xié)同設(shè)計(jì)技術(shù)。由于SOPC的主要邏輯設(shè)計(jì)是在可編程邏輯器件內(nèi)部進(jìn)行,而BGA封裝已被廣泛應(yīng)用在微封裝領(lǐng)域中,傳統(tǒng)的調(diào)試設(shè)備,如:邏輯分析儀和數(shù)字示波器,已很難進(jìn)行直接測(cè)試分析,因此,必將對(duì)以仿真技術(shù)為基礎(chǔ)的軟硬件協(xié)同設(shè)計(jì)技術(shù)提出更高的要求。同時(shí),新的調(diào)試技術(shù)也已不斷涌現(xiàn)出來,如Xilinx公司的片內(nèi)邏輯分析儀Chip Scope ILA就是一種價(jià)廉物美的片內(nèi)實(shí)時(shí)調(diào)試工具。1.1.2 SOPC對(duì)的發(fā)展過程一直以來,在開發(fā)一個(gè)典型的系統(tǒng)時(shí),設(shè)計(jì)人員仍不得不采用各種昂貴的,分立的模擬器件配合可編程邏輯器件或者混合信號(hào)的ASIC作為解決方案.而SOPC是具有所有這些屬性的現(xiàn)成部件,利用它可以方便的選擇器件來構(gòu)成一個(gè)系統(tǒng),而且可以根據(jù)系統(tǒng)的需要對(duì)處理器的資源進(jìn)行裁剪.此外,由于各個(gè)器件之間通過總線的鏈接是自動(dòng)生成的,這就大大縮減了系統(tǒng)的開發(fā)周期,也因此,針對(duì)于特定器件IP核的設(shè)計(jì)以及IP核的重用成為SOPC技術(shù)的發(fā)展的關(guān)鍵.與傳統(tǒng)方法相比,SOPC的設(shè)計(jì)方法必須有根本的改變,即從以功能設(shè)計(jì)為基礎(chǔ)的傳統(tǒng)流程,轉(zhuǎn)變到以功能組裝為基礎(chǔ)的全新流程. 1.1.3 SOPC的優(yōu)勢(shì) SOPC技術(shù)的目標(biāo)就是試圖將盡可能大而完整的電子系統(tǒng),包括嵌入式處理器系統(tǒng),接口系統(tǒng),硬件協(xié)處理器或加速器,DSP系統(tǒng),數(shù)字通信系統(tǒng),存儲(chǔ)電路以及普通數(shù)字系統(tǒng)等,在單一可編程片上系統(tǒng)中實(shí)現(xiàn),使得所設(shè)計(jì)的系統(tǒng)在規(guī)模,可靠性,體積,功耗,功能,性能指標(biāo),上市周期,開發(fā)成本,產(chǎn)品維護(hù)及其硬件升級(jí)等多方面實(shí)現(xiàn)最優(yōu)化,而這也是SOPC技術(shù)發(fā)展的根本方向.目前SOPC的發(fā)展趨勢(shì)主要體現(xiàn)在以下四個(gè)方面:一是向更高密度,更大容量的千萬(wàn)門系統(tǒng)級(jí)方向邁進(jìn);二是向低成本,低電壓,微功耗,微封裝和綠色化方向發(fā)展;三是IP資源復(fù)用理念將得到普遍認(rèn)同并成為主要的設(shè)計(jì)方式;四是嵌入式處理器IP將成為SOPC的核心.1.2 NIOS II工具基本模塊介紹 能夠滿足任何應(yīng)用32位嵌入式微處理器的需要,客戶可以將第一代Nios處理器設(shè)計(jì)移植到某種Nios 處理器上,Altera將長(zhǎng)期支持現(xiàn)有FPGA系列上的第一代Nios處理器。另外,Altera提供了一鍵式移植選項(xiàng),可以升級(jí)至Nios 系列。Nios 處理器也能夠在HardCopy器件中實(shí)現(xiàn),Altera還為基于Nios 處理器的系統(tǒng)提供ASIC的移植方式。 Nios 處理器具有完善的軟件開發(fā)套件,包括編譯器、集成開發(fā)環(huán)境(IDE)、JTAG調(diào)試器、實(shí)時(shí)操作系統(tǒng)(RTOS)和TCP/IP協(xié)議棧。設(shè)計(jì)者能夠用Altera Quartus 開發(fā)軟件中的SOPC Builder系統(tǒng)開發(fā)工具很容易地創(chuàng)建專用的處理器系統(tǒng),并能夠根據(jù)系統(tǒng)的需求添加Nios 處理器核的數(shù)量。使用Nios 軟件開發(fā)工具能夠?yàn)镹ios 系統(tǒng)構(gòu)建軟件,即一鍵式自動(dòng)生成適用于系統(tǒng)硬件的專用C/C+運(yùn)行環(huán)境。Nios 集成開發(fā)環(huán)境(IDE)提供了許多軟件模板,簡(jiǎn)化了項(xiàng)目設(shè)置。此外,Nios 開發(fā)套件包括兩個(gè)第三方實(shí)時(shí)操作系統(tǒng)(RTOS)MicroC/OS-(Micrium),Nucleus Plus(ATI/Mentor)以及供網(wǎng)絡(luò)應(yīng)用使用的TCP/IP協(xié)議棧。長(zhǎng)期以來,Altera一直推行嵌入式處理器戰(zhàn)略的原因是,隨著應(yīng)用的ASIC開發(fā)日益受到成本的困擾,OEM日漸轉(zhuǎn)向FPGA來構(gòu)建自己的系統(tǒng)。這些系統(tǒng)中絕大多數(shù)需要一個(gè)處理器,而Altera正是為設(shè)計(jì)者提供了為FPGA優(yōu)化的靈活的嵌入式處理器方案,可以滿足16位和32位嵌入式處理器市場(chǎng)的需求。第2章 彩燈控制器的設(shè)計(jì)2.1 設(shè)計(jì)要求2.1.1 設(shè)計(jì)任務(wù) 基于SOPC的彩燈控制器設(shè)計(jì)。2.1.2 設(shè)計(jì)內(nèi)容 采用SOPC技術(shù)設(shè)計(jì)一個(gè)彩燈控制器;功能要求 (1)配置NIOS II軟核系統(tǒng); (2) 在基于Eclipse的Nios集成開發(fā)環(huán)境 (IDE)上開發(fā)彩燈控器。 (3)至少實(shí)現(xiàn)8個(gè)LED,3種以上的花色。2.1.3 設(shè)計(jì)要求 (1)設(shè)計(jì)思路清晰,給出整體設(shè)計(jì)框圖; (2)NIOS II處理器選擇配置合理; (3)仿真 、調(diào)試、驗(yàn)證電路模塊;2.2 設(shè)計(jì)思路2.2.1 設(shè)計(jì)流程圖彩燈控制器時(shí)序控制部分(分頻器)數(shù)碼管動(dòng)態(tài)顯示發(fā)光二極管動(dòng)態(tài)顯示揚(yáng)聲器發(fā)生控制復(fù) 位 圖 1-1 彩燈控制器的設(shè)計(jì)流程圖2.2.2 設(shè)計(jì)原理彩燈控制器的設(shè)計(jì)核心主要是分頻器的使用,顯示部分的設(shè)計(jì)較簡(jiǎn)易。分頻的方法有很多種,本次設(shè)計(jì)采用了其中較簡(jiǎn)易的一種,通過計(jì)數(shù)器的分頻,將控制器外接的頻率分為幾個(gè)我們預(yù)先設(shè)定的值。當(dāng)計(jì)數(shù)器達(dá)到預(yù)先設(shè)定的值,即產(chǎn)生一個(gè)上升沿,從而實(shí)現(xiàn)分頻。揚(yáng)聲器通過不同的頻率控制發(fā)出不同的聲音。同樣發(fā)光二極管和數(shù)碼管的顯示速度也由其中分出來的一種頻率控制(控制顯示頻率在14之間為宜)。通過使能端的控制可以控制不同的數(shù)碼管顯示預(yù)先設(shè)定的圖案,數(shù)碼管依次顯示的圖案為AA、BB、CC,并隨著發(fā)光二極管同步動(dòng)態(tài)顯示。AA為自左向右顯示,BB為自右向左顯示,CC從二邊向中間再由中間向二邊發(fā)散顯示。與此同時(shí),顯示不同的花型時(shí)揚(yáng)聲器發(fā)出不同的聲音,代表不同的花型。本次設(shè)計(jì)還帶有復(fù)位功能,通過復(fù)位可以使彩燈控制器恢復(fù)到最初的狀態(tài)。第3章 程序設(shè)定與分析3.1 時(shí)序控制部分定義4個(gè)信號(hào),cq,cllk1,clk2,clk3。第一次分頻,為50分頻,當(dāng)clk發(fā)生變化,使a從000000變化到110010時(shí)產(chǎn)生一個(gè)上升沿clk1。如果a沒有變化到110010則a繼續(xù)自加,直到110010為止產(chǎn)生下一個(gè)上升沿。對(duì)clk進(jìn)行25分頻,原理同上,產(chǎn)生信號(hào)clk2。對(duì)clk進(jìn)行8分頻,產(chǎn)生一個(gè)新的時(shí)鐘脈沖信號(hào)clk3。對(duì)clk2再進(jìn)行一次分頻,當(dāng)clk2發(fā)生變化,并且變化為上升沿時(shí),z就加1,直到加到為20時(shí),s就發(fā)生變化,加1,每當(dāng)s發(fā)生變化,加到30時(shí),s就加1。當(dāng)s從00000變化到10111時(shí),就對(duì)s清零,從而實(shí)現(xiàn)數(shù)碼顯示管和發(fā)光二極管的循環(huán)顯示,以達(dá)到顯示速度的要求。3.2 發(fā)光二極管、數(shù)碼管顯示及揚(yáng)聲器控制程序3.2.1 花型A顯示程序當(dāng)敏感信號(hào)s發(fā)生變化,對(duì)數(shù)碼管進(jìn)行置位和置型,0001000也就是對(duì)相應(yīng)的數(shù)碼管使之顯示花型為A,通過對(duì)數(shù)碼管使能端的置位,控制顯示的數(shù)碼管顯示為AA ,并且發(fā)光二極管最右邊顯示為亮。當(dāng)S每變化一次,數(shù)碼管向左移動(dòng)一格,發(fā)光二極管也同步向左移動(dòng),每次只亮一個(gè)燈。當(dāng) s變化到00111之后執(zhí)行下一段程序,同時(shí)揚(yáng)聲器發(fā)出聲音。 3.2.2 花型B顯示程序當(dāng)敏感信號(hào)s發(fā)生變化至01000時(shí),對(duì)數(shù)碼管進(jìn)行置位和置型,0000011也就是對(duì)相應(yīng)的數(shù)碼管使之顯示花型為B,通過對(duì)數(shù)碼管使能端的置位,控制顯示的數(shù)碼管顯示為BB ,并且發(fā)光二極管最左邊顯示為亮。當(dāng)S每變化一次,數(shù)碼管向右移動(dòng)一格,發(fā)光二極管也同步向右移動(dòng),每次只亮一個(gè)燈。當(dāng) s變化到01111之后執(zhí)行下一段程序,同時(shí)揚(yáng)聲器發(fā)出聲音。 當(dāng)敏感信號(hào)s發(fā)生變化至10000時(shí),對(duì)數(shù)碼管進(jìn)行置位和置型,1000110也就是對(duì)相應(yīng)的數(shù)碼管使之顯示花型為C,通過對(duì)數(shù)碼管使能端的置位,控制顯示的數(shù)碼管顯示為CC ,并且發(fā)光二極管最左邊第一個(gè)和最右邊第一個(gè)顯示為亮。當(dāng)S每變化一次,數(shù)碼管向中間移動(dòng)一格,發(fā)光二極管也同步中間移動(dòng),可以看到由二邊向中間移動(dòng)再發(fā)散到二邊的變化過程。當(dāng) s變化到10111之后執(zhí)行再循環(huán)顯示A花型,同時(shí)揚(yáng)聲器發(fā)出聲音。 第4章 波形仿真分析4.1 花型A波形仿真程序經(jīng)過編譯之后即可進(jìn)行波形的仿真,為了方便觀察顯示結(jié)果,這里調(diào)節(jié)仿真時(shí)間為3us ,脈沖頻率clk的周期為5ns,復(fù)位信號(hào)暫且不進(jìn)行仿真.。執(zhí)行Quartus軟件中的processing- start simulation選即可觀察到波形仿真圖像。如下圖所示為顯示花型AA的仿真結(jié)果。 圖 4-1 花型A波形仿真圖由圖4-1可知,當(dāng)clk發(fā)生變化,來上升沿時(shí),led7s1為0001000即數(shù)碼管首先顯示為花型A 二極管按規(guī)定的顯示,首先led7s2位01111111即最右邊的二極管亮燈,led_selout為11000000即最右邊的2個(gè)數(shù)碼管顯示2個(gè)A的花型。當(dāng)clk變化到規(guī)定次數(shù)時(shí)二極管的顯示開始按左移動(dòng),數(shù)碼顯示管也向左移動(dòng)。Led7s2依次變化0111111111111110,實(shí)現(xiàn)二極管的向左移動(dòng);led_selout也依次變化1100000000000011實(shí)現(xiàn)數(shù)碼顯示管的向左移動(dòng)。同時(shí)當(dāng)clk信號(hào)變化50次時(shí)產(chǎn)生一個(gè)上升沿clk1,控制揚(yáng)聲器發(fā)出聲音。4.2 花型B波形仿真圖 4-2 花型BB的波形仿真圖由圖4-2可知,當(dāng)clk發(fā)生變化,來上升沿時(shí),led7s1為0000011即數(shù)碼管首先顯示為花型B二極管按規(guī)定的顯示,首先led7s2位11111110即最右邊的二極管亮燈,led_selout為00000011即最右邊的2個(gè)數(shù)碼管顯示2個(gè)B的花型。當(dāng)clk變化到規(guī)定次數(shù)時(shí)二極管的顯示開始向右移動(dòng),數(shù)碼顯示管也向右移動(dòng)。Led7s2依次變化1111111001111111,實(shí)現(xiàn)二極管的向右移動(dòng);led_selout也依次變化0000001111000000實(shí)現(xiàn)數(shù)碼顯示管的向右移動(dòng)。同時(shí)當(dāng)clk信號(hào)變化25次時(shí)產(chǎn)生一個(gè)上升沿clk2,控制揚(yáng)聲器發(fā)出聲音。4.3 花型B波形仿真圖 4-3 花型C的波形仿真圖由圖4-3可知當(dāng)clk發(fā)生變化,來上升沿時(shí),led7s1為1000110即數(shù)碼管首先顯示為花型C二極管按規(guī)定的顯示,首先led7s2位01111110即最右邊和最右邊的二極管亮燈,led_selout為10000001即最右邊和最左邊的2個(gè)數(shù)碼管顯示2個(gè)C的花型。當(dāng)clk變化到規(guī)定次數(shù)時(shí)二極管的顯示開始向中間移動(dòng),數(shù)碼顯示管中的花型也向中間移動(dòng),然后再由中間向倆邊移動(dòng)。Led7s2依次變化011111101110011101111110,實(shí)現(xiàn)二極管的向中間移動(dòng)再向倆邊移動(dòng);led_selout也依次變化100000010001100010000001實(shí)現(xiàn)數(shù)碼顯示管的向中間再向倆邊移動(dòng)。同時(shí)當(dāng)clk信號(hào)變化8次時(shí)產(chǎn)生一個(gè)上升沿clk3,控制揚(yáng)聲器發(fā)出聲音。心得體會(huì)本次設(shè)計(jì)的是彩燈控制器的設(shè)計(jì),當(dāng)決定選這個(gè)課題的時(shí)候經(jīng)過分析就知道關(guān)鍵是計(jì)數(shù)器和分頻器的使用,分頻的方法有很多種,對(duì)于同一種功能的實(shí)現(xiàn),用VHDL可以采用多種方式進(jìn)行描述,每種方式之間各有優(yōu)劣,本次設(shè)計(jì)只采用了其中較簡(jiǎn)單的一種,應(yīng)盡量用最簡(jiǎn)潔的語(yǔ)言寫出所需功能的程序。 通過這次課程設(shè)計(jì)對(duì)技術(shù)有了更進(jìn)一步的熟悉,VHDL 語(yǔ)言和語(yǔ)言等其他語(yǔ)言還是有很大的區(qū)別。VHDL是EDA技術(shù)的重要組成部分,其具有與具體硬件電路無(wú)關(guān)和與設(shè)計(jì)平臺(tái)無(wú)關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語(yǔ)言易讀性和層次化、結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。其主要的也是最大的優(yōu)點(diǎn)就在于設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對(duì)不影響功能的與工藝有關(guān)的因素花費(fèi)過多的時(shí)間和精力。在實(shí)際操作中發(fā)現(xiàn)設(shè)計(jì)和課本上的知識(shí)有很大聯(lián)系,但又高于課本,一個(gè)簡(jiǎn)單的原理要把它應(yīng)用以及和其他功能綜合起來就有些困難。通過設(shè)計(jì)也鞏固了我們的書本知識(shí)以及通過借閱書籍和上網(wǎng)查找資料,也豐富了自己對(duì)EDA的了解。 不過本次設(shè)計(jì)也存在一些不足,暴露了自己對(duì)EDA的掌握還有所欠缺。在設(shè)計(jì)過程中,分頻分的太大,頻率太小的話,揚(yáng)聲器的聲音體現(xiàn)不出顯示不同花型時(shí)的區(qū)別;頻率太大的話,數(shù)碼管顯示速度太快,嘗試分頻時(shí)使用不同的脈沖信號(hào),但沒有成功。在反復(fù)調(diào)試中,最后還是成功了,但原理還不是很清楚。同時(shí),在課程設(shè)計(jì)過程中通過與同學(xué)的交流及上網(wǎng)查找相關(guān)資料,也了解了他們對(duì)于這門技術(shù)的看法和今后這門技術(shù)的發(fā)展方向。總的來說,這次設(shè)計(jì)還是有所收獲的。參考文獻(xiàn)1、SOPC 嵌入式系統(tǒng)基礎(chǔ)教程 北京航空航天出版社出版2、SOPC技術(shù)與應(yīng)用機(jī)械工業(yè)出版社3、SOPC 技術(shù)實(shí)用教程 清華大學(xué)出版社4、挑戰(zhàn)SOC - - 基于NIOSII的SOPC設(shè)計(jì)與實(shí)踐 清華大學(xué)出版社5、NiosII嵌入式軟核SOPC設(shè)計(jì)原理及應(yīng)用 北京航空航天大學(xué)出版社6、FPGA與SOPC設(shè)計(jì)教程-DE2實(shí)踐 西安電子科技大學(xué)出版社 電氣與信息工程系課程設(shè)計(jì)評(píng)分表項(xiàng) 目評(píng) 價(jià)優(yōu)良中及格差設(shè)計(jì)方案的合理性與創(chuàng)造性(10%)硬件設(shè)計(jì)或軟件編程完成情況(10%)硬件測(cè)試或軟件調(diào)試結(jié)果*(10%)設(shè)計(jì)說明書質(zhì)量(10%)設(shè)計(jì)圖紙質(zhì)量(10%)答辯匯報(bào)的條理性和獨(dú)特見解(10%)答辯中對(duì)所提問題的回答情況(10%)完成任務(wù)情況(10%)獨(dú)立工作能力(10%)出勤情況(10%)綜 合 評(píng) 分 指導(dǎo)教師簽名:_ 日 期:_ 注:表中標(biāo)*號(hào)項(xiàng)目是硬件制作或軟件編程類課題必填內(nèi)容; 此表裝訂在課程設(shè)計(jì)說明書的最后一頁(yè)。課程設(shè)計(jì)說明書裝訂順序:封面、任務(wù)書、目錄、正文、評(píng)分表、附件(非16K大小的圖紙及程序清單)。 13

注意事項(xiàng)

本文(基于SOPC的彩燈控制器設(shè)計(jì)課程設(shè)計(jì).doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!