歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

畢業(yè)論文-超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì).doc

  • 資源ID:116809481       資源大?。?span id="xf5hhja" class="font-tahoma">1.55MB        全文頁(yè)數(shù):46頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

畢業(yè)論文-超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì).doc

湖南工學(xué)院畢業(yè)設(shè)計(jì)說(shuō)明書(shū) 設(shè)計(jì)課題: 超聲波測(cè)距報(bào)警系統(tǒng)系 部: 電氣與信息工程系專 業(yè): 電子信息工程技術(shù)班 級(jí): 姓 名: 學(xué) 號(hào): 指導(dǎo)老師: lei 畢業(yè)設(shè)計(jì)(論文)任務(wù)書(shū)一、課題名稱:超聲波測(cè)距報(bào)警系統(tǒng)二、設(shè)計(jì)時(shí)間:10周1下達(dá)任務(wù)書(shū)、學(xué)生收集資料、熟悉畢業(yè)設(shè)計(jì)課題(1周);2總體方案設(shè)計(jì)(2周);3電路設(shè)計(jì)和軟件編制(4周);4電路制作和調(diào)試(2周);5編寫(xiě)畢業(yè)設(shè)計(jì)說(shuō)明書(shū)(1周);6教師評(píng)閱設(shè)計(jì),學(xué)生進(jìn)行總結(jié)、準(zhǔn)備答辯(0.5周);7畢業(yè)設(shè)計(jì)答辯(0.5周);三、設(shè)計(jì)任務(wù)設(shè)計(jì)一超聲波測(cè)距裝置四、技術(shù)要求1. 超聲測(cè)距傳感器作用距離為0.2-30米。2可以分為大、中、小三種量程。其中,小量程探測(cè)距離小于2m,工作頻率在60-300kHz之間;中量程探測(cè)距離約為2-lOm,工作頻率在40-60kHz之間;大量程探測(cè)距離約為20-3Om,工作頻率處在16-30kHz之間。3LED或LCD顯示,報(bào)警距離可設(shè)置。4擴(kuò)展功能:可用于汽車(chē)測(cè)速。五、指導(dǎo)教師:雷軍六、畢業(yè)設(shè)計(jì)參考資料:1 謝自美,電子電路設(shè)計(jì),華中理工出版社期20002單片機(jī)原理與接口技術(shù),北航出版社,1999.4;3李翰蓀主編,電路分析M,北京:中央廣播電視大學(xué)出版社;4楊素行主編,模擬電子技術(shù)基礎(chǔ)簡(jiǎn)明教程M,北京:高等教育出版社,1998.10;5全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽作品選,1999年;6電子系統(tǒng)設(shè)計(jì),浙江大學(xué)出版社2000;摘 要 本設(shè)計(jì)從實(shí)驗(yàn)研究分析的角度,分析了大作用超聲波測(cè)距系統(tǒng)的基本設(shè)計(jì)原理。較詳細(xì)的介紹大作用超聲波測(cè)距系統(tǒng)以及根據(jù)該系統(tǒng)設(shè)計(jì),研制汽車(chē)倒車(chē)防撞報(bào)警器,現(xiàn)場(chǎng)機(jī)器人,以及能自動(dòng)檢測(cè)障礙物的距離。它是一種時(shí)實(shí)檢測(cè)前方障礙物的測(cè)距系統(tǒng),當(dāng)達(dá)到極限位置的時(shí)候,它能發(fā)出聲報(bào)警。文章以增大超聲測(cè)距系統(tǒng)的作用距離為主要目標(biāo),對(duì)超聲換能器的機(jī)械結(jié)構(gòu)、驅(qū)動(dòng)電源、接收電路和信號(hào)處理算法等各個(gè)方面,展開(kāi)了研究與實(shí)驗(yàn)驗(yàn)證,設(shè)計(jì)且成功實(shí)現(xiàn)了大作用距離超聲測(cè)距系統(tǒng)。超聲波距離傳感器采用壓電元件鋯鈦化鉛,一般稱為RZT,這種傳感器的特點(diǎn)在于具有方向性,在這所用的測(cè)距系統(tǒng)利用超聲波距離傳感器的“回聲”現(xiàn)象制成的,倒車(chē)時(shí)向車(chē)輛后方發(fā)射超聲波,測(cè)定超聲波遇到障礙物后返回的時(shí)間,就可以得到車(chē)到障礙物的距離。首先,文章研究了壓電效應(yīng)及壓電超聲換能器,設(shè)計(jì)了一種大作用距離超聲換能器。其次,文章通過(guò)理論推導(dǎo)計(jì)算,設(shè)計(jì)了一種新型超聲換能器驅(qū)動(dòng)電源,一種單電源、帶AGC 的回波接收電路,擴(kuò)大了超聲信號(hào)處理的動(dòng)態(tài)范圍。利用Nl 數(shù)據(jù)采集卡搭建了超聲測(cè)距系統(tǒng)。 關(guān)鍵詞:超聲波,大作用距離,新型電源,脈沖回波法。Abstract This design from the experimental study analysis angle, hasanalyzed the major function ultrasonic ranging system basic designprinciple. The more detailed introduction major function ultrasonicranging system as well as acts according to this system design,develops the automobile back-draft proximity warner, the scene robot,as well as can the automatic detection obstacle distance. It is frontone kind of time solid examination the obstacle range measuringsystem, when achieved limiting position time, it can send out thesound to report to the police. The article increases the supersonicrange measuring system the range coverage primarily to want the goal,to the ultrasonic transducer mechanism, actuates the power source, theaccepting circuit and the signal processing algorithm and so on eachaspect, has launched the research and the experiment confirms, thedesign also the success realized the writings with to be away from thesupersonic range measuring system. whenback-draft launches the ultrasonic wave to the vehicles behind, afterthe determination ultrasonic wave meets the time which the obstaclereturns, may obtain the vehicle to the obstacle distance. First, thearticle has studied the piezoelectric effect and the piezoelectricityultrasonic transducer, designed one kind of writings with to be awayfrom the ultrasonic transducer. Next, the article through the theoryinferential reasoning computation, designed one kind of new ultrasonictransducer to actuate the power source, supersonicrange measuring system using the Nl data acquisition card. Key word: The ultrasonic wave, the writings use the distance, newpower source, pulse echo law.目 錄第1章 緒 論- 3 -1.1超聲波測(cè)距研究意義及發(fā)展概況- 3 -1.1.1 超聲波測(cè)距系統(tǒng)研究的意義- 3 -1 .2 超聲波特性及超聲換能器現(xiàn)狀- 4 -12.1 超聲波及其特性- 4 -1.2.2 超聲換能器現(xiàn)狀- 6 -1.2.3 本課題設(shè)計(jì)目的及簡(jiǎn)介- 7 -第2章 超聲波測(cè)距系統(tǒng)的原理及設(shè)計(jì)方案- 8 -2.1超聲波發(fā)生器及測(cè)距原理- 8 -2.1.1 超聲波發(fā)生器- 8 -2.1.2、壓電式超聲波發(fā)生器原理- 8 -2.1.3超聲波測(cè)距原理- 9 -2.2 方案的設(shè)計(jì)- 9 -2.3 超聲波的電路設(shè)計(jì)- 11 -2.3.1 AT89C52的簡(jiǎn)介- 11 -2.3.2 前置放大電路.- 13 -2.3.3 復(fù)位電路- 13 -2.3.4 時(shí)鐘電路- 14 -2.3.5 報(bào)警電路- 15 -2.3.6鍵盤(pán)電路- 15 -2.4 超聲波發(fā)射與接收電路- 17 -2.4.1 發(fā)射部分- 17 -2.4.2 接收電路- 18 -2.4.3 帶通濾波器- 19 -2.4.4溫度檢測(cè)電路- 20 -2.4.5 發(fā)射接收模塊總原理圖- 21 -第3章 軟件設(shè)計(jì)- 22 -3.1主流程圖- 22 -3.2溫度讀取程序- 23 -3.3 LCD顯示流程圖- 24 -3.4外中斷服務(wù)程序- 24 -3.5 超聲波發(fā)射程序- 25 -第4章 調(diào)試說(shuō)明誤差分析- 26 -4.1軟件調(diào)試和硬件調(diào)試- 26 -4.1.1軟件調(diào)試- 26 -4.1.2 硬件調(diào)試- 27 -4.2 誤差分析- 28 -4.2.2 超聲波波束入射角的影響- 28 -4.2.3 超聲波傳播速度的影響- 29 -4.3實(shí)測(cè)比較- 30 -結(jié)束語(yǔ)- 31 -參考文獻(xiàn)- 33 -附 錄 1 源程序- 34 -附錄2 總電路圖- 43 -致 謝- 44 -第1章 緒 論由于超聲波指向性強(qiáng),能量消耗緩慢,在介質(zhì)中傳播的距離較遠(yuǎn),因而超聲波經(jīng)常用于距離的測(cè)量,如測(cè)距儀和物位測(cè)量?jī)x等都可以通過(guò)超聲波來(lái)實(shí)現(xiàn)。利用超聲波檢測(cè)往往比較迅速、方便、計(jì)算簡(jiǎn)單、易于做到實(shí)時(shí)控制,并且在測(cè)量精度方面能達(dá)到工業(yè)實(shí)用的要求,因此在移動(dòng)機(jī)器人的研制上也得到了廣泛的應(yīng)用。 1.1 超聲波測(cè)距研究意義及發(fā)展概況 由于超聲波指向性強(qiáng),能量消耗緩慢,在介質(zhì)中傳播的距離較遠(yuǎn),因而超聲波經(jīng)常用于距離的測(cè)量,如測(cè)距儀和物位測(cè)量?jī)x等都可以通過(guò)超聲波來(lái)實(shí)現(xiàn)。利用超聲波檢測(cè)往往比較迅速、方便、計(jì)算簡(jiǎn)單、易于做到實(shí)時(shí)控制,并且在測(cè)量精度方面能達(dá)到工業(yè)實(shí)用的要求,因此在移動(dòng)機(jī)器人的研制上也得到了廣泛的應(yīng)用。下面就介紹一下超聲波測(cè)距研究意義及發(fā)展概況。1.1.1 超聲波測(cè)距系統(tǒng)研究的意義道路交通事故是現(xiàn)代社會(huì)的一大公害,與之相關(guān)的先進(jìn)安全技術(shù)研究日益受到重視。基于智能交通系統(tǒng)的汽車(chē)防撞系統(tǒng)是先進(jìn)安全技術(shù)的一項(xiàng)重要內(nèi)容,國(guó)內(nèi)外相繼開(kāi)展了相關(guān)的研究,但迄今為止在該領(lǐng)域還存在許多尚未解決的問(wèn)題。探討和研究一種在高速公路汽車(chē)防撞系統(tǒng)。在正常行駛時(shí),該系統(tǒng)不報(bào)警,當(dāng)自車(chē)與前車(chē)之間的距離小于所設(shè)定的安全距離并有可能發(fā)生碰撞時(shí),該系統(tǒng)將發(fā)出報(bào)警信息,提醒駕駛員采取相應(yīng)的措施,以避免碰撞事故的發(fā)生。高速公路汽車(chē)防撞系統(tǒng)的研究符合國(guó)內(nèi)外汽車(chē)智能化的發(fā)展趨勢(shì),該系統(tǒng)的應(yīng)用可以保證高速運(yùn)行車(chē)輛的安全性,提高公路運(yùn)輸效率,具有廣泛的應(yīng)用前景和經(jīng)濟(jì)前景。特別是在空氣測(cè)距中,由于空氣中波速較慢,其回波信號(hào)中包含的沿傳播方向上的結(jié)構(gòu)信息很容易檢測(cè)出來(lái),具有很高的分辨力,因而其準(zhǔn)確度也較其它方法為高。如今,超聲測(cè)距技術(shù)在國(guó)防、汽車(chē)工業(yè)、公路監(jiān)測(cè)及日常生活中也無(wú)處不在。1.1.2 超聲波測(cè)距系統(tǒng)國(guó)內(nèi)外發(fā)展的概況迄今為止,國(guó)內(nèi)外許多學(xué)者均著眼于測(cè)距傳感器的研究。能夠全天候工作的毫米波雷達(dá)是最為理想的測(cè)距傳感器,這己是目前國(guó)際上公認(rèn)的結(jié)論。超聲測(cè)距傳感器也可以全天候工作,而且價(jià)格低廉、便于安裝使用,也是一種較為理想測(cè)距傳感器。因此,倘若不考慮從國(guó)外進(jìn)口價(jià)格昂貴的毫米波雷達(dá),那么,超聲傳感器的作用距離問(wèn)題,就成了當(dāng)前開(kāi)發(fā)超聲測(cè)距系統(tǒng)的瓶頸制約。根據(jù)聲學(xué)理論,超聲換能器的機(jī)電能量轉(zhuǎn)換效率、超聲波傳播過(guò)程的能量衰減和回波接收電路的處理增益是影響超聲傳感器作用距離的主要因素。因此,為擴(kuò)大超聲測(cè)距的范圍,不能僅僅依賴于大功率超聲測(cè)距傳感器,還必須從以下兩個(gè)方面采取措施:其一、優(yōu)化換能器的機(jī)械結(jié)構(gòu)、電子線路和機(jī)電阻抗匹配參數(shù),以提高換能器的機(jī)電能量轉(zhuǎn)換效率;其二、增加濾波電路或采用基于微處理器的自適應(yīng)噪聲抵消器對(duì)回波信號(hào)進(jìn)行預(yù)處理,增大后續(xù)信號(hào)處理算法的處理增益,以提高超聲測(cè)距儀的輸出信噪比。只有這樣,才可能研制出功耗低、量程大(2040m)而且價(jià)格低廉的超聲測(cè)距系統(tǒng)。毋庸置疑,大作用距離超聲傳感器的研制成功,不僅有益于促進(jìn)科技進(jìn)步、加快國(guó)內(nèi)超聲測(cè)距系統(tǒng)的開(kāi)發(fā)進(jìn)程,而且具有相當(dāng)廣闊的市場(chǎng)前景。1 .2 超聲波特性及超聲換能器現(xiàn)狀具備發(fā)送和接收超聲波功能的裝置,稱為超聲換能器,習(xí)慣上稱為超聲傳感器或超聲波探頭。下面,簡(jiǎn)要介紹一下超聲波特性和超聲換能器現(xiàn)狀。12.1 超聲波及其特性 超聲波具有較好的指向性 頻率越高,指向性越強(qiáng)。這在諸如探仿和水下聲通訊等應(yīng)用場(chǎng)合是主要的考慮因素。頻率高時(shí),相應(yīng)地波長(zhǎng)將變短,因而波長(zhǎng)可與傳播超聲波的試樣材料的尺寸相比擬。甚至波長(zhǎng)可遠(yuǎn)小于試樣材料的尺這在厚度尺寸很小的測(cè)量應(yīng)用中以及在高分辨率的探傷應(yīng)用中是非常重要的。 超聲波用起來(lái)很安靜,這一點(diǎn)在高強(qiáng)度工作場(chǎng)合尤為重要。這些高強(qiáng)度的工作用可聞?lì)l率的聲波來(lái)完成時(shí)往往更有效,然而遺憾的是,可聞聲波工作時(shí)所產(chǎn)生的噪聲令人難以忍受,有時(shí)甚至是對(duì)人體有害的超聲波的應(yīng)用被嚴(yán)格地區(qū)分為低強(qiáng)度應(yīng)用和高強(qiáng)度應(yīng)用兩類”。在低強(qiáng)度應(yīng)用類中,超聲波或是用來(lái)研究試樣材料的特性,或是用來(lái)作為控制手段。絕大多數(shù)情況是被測(cè)材料本身經(jīng)受不起結(jié)構(gòu)上的持久變形或是經(jīng)受不起化學(xué)特性上的變化,才采用低強(qiáng)度超聲波作為測(cè)試手段的。許多低強(qiáng)度應(yīng)用場(chǎng)合中所用的超聲波,其頻率都很高,典型的工作頻率是在兆赫茲的范圍內(nèi),而其聲功率的范圍則較寬,一般可從數(shù)微瓦到數(shù)十毫瓦。在高強(qiáng)度應(yīng)用類中,超聲波通常是用來(lái)改變它所通過(guò)的物質(zhì)的性質(zhì)。高強(qiáng)度應(yīng)用幾乎總是在低頻的情況下進(jìn)行的,通常就把工作頻率選在剛好高出可聞聲頻的上限處,而其聲功率則可以從數(shù)毫瓦至上千瓦。 現(xiàn)代聲學(xué)已經(jīng)涵蓋了從“1010”Hz 的頻率范圍,相當(dāng)于從大約3 小時(shí)振動(dòng)一次的次聲到波長(zhǎng)短于固體中原子間距的分子熱振動(dòng),即跨越了10 “量級(jí)的寬廣頻段。振動(dòng)頻率在16Hz 20kHz 之間的機(jī)械波,能為人耳所聞,稱為聲波;低于16Hz 的機(jī)械波,稱為次聲波;高于20kHz 的機(jī)械波,稱為超聲波,而高于100 MHz 的機(jī)械波,則稱之為特超聲波。 由于人耳聽(tīng)域有限,所以在自然界中似乎超聲不存在,其實(shí)超聲是廣泛存在的。人耳聽(tīng)到的聲音只是自然界聲音的一部分,即可聽(tīng)聲部分,而即使是可聽(tīng)聲部分的聲音,有時(shí)仍然含有超聲成分,只是人耳聽(tīng)不到。比如:自然界中許多動(dòng)物發(fā)出的聲音中就含有超聲成分,蝙蝠是最出名的。它可以利用超聲進(jìn)行探測(cè)洞穴、捕獲昆蟲(chóng),人類從18 世紀(jì)就開(kāi)始研究它,一直延續(xù)至今,并利用仿生學(xué)的原理制造出雷達(dá)等探測(cè)工具。在我國(guó),解放前超聲的研究是個(gè)空白。解放后不久,出現(xiàn)了很少量的超聲學(xué)研究。大規(guī)模的超聲研究開(kāi)始于1965 年。到目前,我國(guó)在超生學(xué)的各個(gè)領(lǐng)域都開(kāi)展了研究和應(yīng)用。有不少的項(xiàng)目和成果達(dá)到了國(guó)際水平。 同其它聲波一樣,超聲波的傳播速度取決于介質(zhì)密度和介質(zhì)的彈性常數(shù)。在大氣條件下,超聲波在相同傳播介質(zhì)中的傳播速度是一樣的,而且,在相當(dāng)大的頻率范圍內(nèi),聲速是固定不變的??諝庵械穆暡▊鞑ニ俣萩 可近似地表示為; C331.4331.4+0.6T(m/s) (1.1) 其中T 是空氣介質(zhì)的溫度(C )。因?yàn)槁暡ㄊ墙柚趥鞑ソ橘|(zhì)中的質(zhì)點(diǎn)運(yùn)動(dòng)而傳播的,其傳播方向與其振動(dòng)方向一致,所以空氣中的聲波屬于縱向振動(dòng)的彈性機(jī)械波。在理想介質(zhì)中,描述簡(jiǎn)諧聲波向x 正方向傳播的質(zhì)點(diǎn)位移運(yùn)動(dòng)可表示為: S(t)=A(x)cos(t+kx)=Aecos(t+kx) (1.2) 式中,s (t)表示質(zhì)點(diǎn)的位移;A是振動(dòng)初始條件決定的常數(shù);,t 分別表示角頻率和時(shí)間;x 為聲波的傳播距離(也稱為射程); k = / c 稱為波數(shù);a 為衰減系數(shù)。由此可見(jiàn),在傳播過(guò)程中聲波的振幅A (x)將隨距離x 的增加而呈指數(shù)形式衰減。衰減系數(shù)與聲波頻率及傳播介質(zhì)的關(guān)系為 (1.3) 其中,A為介質(zhì)常數(shù),在空氣中,A2 (s), f 是聲波的振動(dòng)頻率。例如,當(dāng)超聲波的振動(dòng)頻率為25kHz 時(shí),1/80m 。其物理意義是:超聲波在空氣介質(zhì)中傳播,因空氣分子運(yùn)動(dòng)摩擦等原因,能量被吸收損耗,在聲波的傳播距離等于1/ 時(shí),聲波振幅將衰減到初始值的1/e 倍。顯然,聲波頻率愈高,聲能被吸收衰減愈大,聲波的傳播距離愈??;反之,聲波頻率愈低,聲能的吸收衰減愈小,聲波的傳播距離就愈大。聲波的另一種重要的性質(zhì)是:波的頻率越高,波束越窄,聲波定向傳播(或稱為直線傳播)和反射能力越強(qiáng),其能量遠(yuǎn)遠(yuǎn)大于振幅相同的低頻聲波。超聲波的特性除了與其諧振頻率有關(guān),還與發(fā)射換能器的輻射面積有關(guān)。換能器的輻射面積越大,超聲波的波束角就越小。因此,在設(shè)計(jì)大作用距離超聲測(cè)距傳感器時(shí),必須選用恰當(dāng)?shù)膿Q能器工作頻率和換能器輻射面積1.2.2 超聲換能器現(xiàn)狀換能器就是進(jìn)行能量轉(zhuǎn)換的器件,是將一種形式的能量轉(zhuǎn)換成另一種形式的裝置。通常所說(shuō)的換能器一般都是指的電聲換能器。用來(lái)發(fā)射聲波的換能器叫發(fā)射換能器。換能器處在發(fā)射狀態(tài)時(shí),將電能轉(zhuǎn)換成機(jī)械能,再轉(zhuǎn)換成聲能。用來(lái)接受聲波的換能器叫接收器。換能器處在接受狀態(tài)時(shí),將聲能轉(zhuǎn)換成機(jī)械能,再轉(zhuǎn)換成電能。一般情況下,換能器既能用來(lái)發(fā)射,也能用來(lái)接收。通常換能器都有一個(gè)電的儲(chǔ)能元件和一個(gè)機(jī)械振動(dòng)系統(tǒng)。按照實(shí)現(xiàn)機(jī)電轉(zhuǎn)換的物理效應(yīng)的不同,可將換能器分成:電動(dòng)式、電磁式、磁致伸縮式、電容式、壓電式和電磁致伸縮式等。1.2.3 本課題設(shè)計(jì)目的及簡(jiǎn)介 本次超聲波測(cè)距儀的設(shè)計(jì)要求:1. 超聲測(cè)距傳感器作用距離為0.2-30米。2可以分為大、中、小三種量程。其中,小量程探測(cè)距離小于2m,工作頻率在60-300kHz之間;中量程探測(cè)距離約為2-lOm,工作頻率在40-60kHz之間;大量程探測(cè)距離約為20-3Om,工作頻率處在16-30kHz之間。3LED或LCD顯示,報(bào)警距離可設(shè)置。本課題以研制功耗低、體積小、作用距離大而且價(jià)格低廉的超聲測(cè)距系統(tǒng)為目標(biāo),對(duì)超聲換能器的機(jī)電特性、機(jī)械結(jié)構(gòu)、發(fā)射與接收電路、機(jī)電阻抗匹配、超聲測(cè)距處理方法等各個(gè)技術(shù)專題,現(xiàn)將論文中各個(gè)章節(jié)的主要內(nèi)容簡(jiǎn)要介紹如下: 第一章以論文的研究背景與現(xiàn)實(shí)意義為核心,介紹了測(cè)距傳感器概況,重點(diǎn)闡述了研制超聲傳感器的關(guān)鍵技術(shù)和各種超聲波測(cè)距處理方法,簡(jiǎn)要介紹了超聲測(cè)距在各個(gè)領(lǐng)域的應(yīng)用。 第二章具體介紹了超聲波測(cè)距系統(tǒng)的原理及設(shè)計(jì)方案。和各個(gè)電路的原理圖。 第三章介紹了軟件設(shè)計(jì)個(gè)主要的流程圖。 第四章主要說(shuō)明了軟件和硬件的設(shè)計(jì)。第五章的重點(diǎn)是總結(jié)全文的主要研究成果,并指出進(jìn)一步研究工作可以采取的措施和應(yīng)當(dāng)注意的問(wèn)題。第2章 超聲波測(cè)距系統(tǒng)的原理及設(shè)計(jì)方案 2.1超聲波發(fā)生器及測(cè)距原理超聲波發(fā)生器的可分幾大類,本節(jié)介紹壓電式發(fā)生器的原理和超聲波測(cè)距的原理。2.1.1 超聲波發(fā)生器為了研究和利用超聲波,人們已經(jīng)設(shè)計(jì)和制成了許多超聲波發(fā)生器。總體上講,超聲波發(fā)生器可以分為兩大類:一類是用電氣方式產(chǎn)生超聲波,一類是用機(jī)械方式產(chǎn)生超聲波。電氣方式包括壓電型、磁致伸縮型和電動(dòng)型等;機(jī)械方式有加爾統(tǒng)笛、液哨和氣流旋笛等。它們所產(chǎn)生的超聲波的頻率、功率和聲波特性各不相同,因而用途也各不相同。目前較為常用的是壓電式超聲波發(fā)生器。2.1.2、壓電式超聲波發(fā)生器原理壓電式超聲波發(fā)生器實(shí)際上是利用壓電晶體的諧振來(lái)工作的。超聲波發(fā)生器內(nèi)部結(jié)構(gòu)如圖2-1所示,它有兩個(gè)壓電晶片和一個(gè)共振板。當(dāng)它的兩極外加脈沖信號(hào),其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片將會(huì)發(fā)生共振,并帶動(dòng)共振板振動(dòng),便產(chǎn)生超聲波。反之,如果兩電極間未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動(dòng),將機(jī)械能轉(zhuǎn)換為電信號(hào),這時(shí)它就成為超聲波接收器了。圖2-1 超聲波傳感器結(jié)構(gòu)2.1.3超聲波測(cè)距原理在超聲探測(cè)電路中,在發(fā)射端得到輸出脈沖為一系列方波,這一系列方波的寬度為發(fā)射超聲與接收超聲的時(shí)間間隔,顯然被測(cè)物距離越大,脈沖寬度越大,輸出脈沖的個(gè)數(shù)與被測(cè)距離成正比。超聲測(cè)距大致有以下方法: (1)取輸出脈沖的平均值電壓,該電壓(電壓的幅值基本固定)與距離成正比,測(cè)量電壓即可測(cè)得距離; (2)測(cè)量輸出脈沖的寬度,即發(fā)射超聲波與接收超聲波的時(shí)間間隔t。因此,被測(cè)距離為S=1/2vt。 本測(cè)量電路采用第二種方案。 由于超聲波也是一種聲波,其聲速C與溫度有關(guān),附表列出了幾種不同溫度下的聲速。在使用時(shí),如果溫度變化不大,則可認(rèn)為聲速是基本不變的。如果測(cè)距精度要求很高,則應(yīng)通過(guò)溫度補(bǔ)償?shù)姆椒右孕U囟龋–)-30-20-100102030100聲速(米/秒)313319325323338344349386表2-1 溫度與聲速變化2.2 方案的設(shè)計(jì)我們做的是基于單片機(jī)的超聲波測(cè)距儀。用單片機(jī)控制超聲波的發(fā)射、接受電路以及進(jìn)行數(shù)據(jù)處理,再用液晶顯示屏進(jìn)行數(shù)據(jù)的顯示。因?yàn)槁曇舻乃俣葧?huì)隨著溫度的變化而改變,所以,我們?cè)黾恿藴乜匮b置,即通過(guò)溫度傳感器(18B20),把當(dāng)前的溫度信息傳給單片機(jī),再通過(guò)一定的算法,得到當(dāng)前的聲音速度。操作者可以通過(guò)幾個(gè)簡(jiǎn)單的按鍵完成測(cè)量方式的選擇(實(shí)時(shí)監(jiān)測(cè)、手動(dòng)測(cè)量)。由單片機(jī)產(chǎn)生一個(gè)信號(hào),經(jīng)過(guò)信號(hào)線,把信號(hào)引入到與超聲波發(fā)射器相連的信號(hào)引腳上,在由超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時(shí)刻的同時(shí)開(kāi)始計(jì)時(shí),超聲波在空氣中傳播,途中碰到障礙物就立即返回來(lái),超聲波接收器收到反射波就立即停止計(jì)時(shí)。超聲波在空氣中的傳播速度為340m/s,根據(jù)計(jì)時(shí)器記錄的時(shí)間t,就可以計(jì)算出發(fā)射點(diǎn)距障礙物的距離(s),即:其中,D為換能器與障礙物之間的距離,C為波聲傳播速度,T為超聲波發(fā)射到返回的時(shí)間間距。原理框圖如下: 開(kāi)始測(cè)量超聲波信號(hào)開(kāi)定時(shí)器關(guān)定時(shí)器數(shù)據(jù)運(yùn)算顯示器接收檢測(cè)電聲換能器電聲換能器驅(qū)動(dòng)電路圖2-2 總原理框圖本次設(shè)計(jì)包含硬件設(shè)計(jì)與軟件設(shè)計(jì)兩部分,根據(jù)設(shè)計(jì)任務(wù)要求,采用AT89C52單片機(jī),配置時(shí)鐘電路,復(fù)位電路構(gòu)成單片機(jī)最小系統(tǒng),由模擬電路和數(shù)字電路構(gòu)成超聲波發(fā)射、接收模塊。由鍵盤(pán),LED顯示構(gòu)成人機(jī)對(duì)話通道,以及溫度傳感器來(lái)構(gòu)成由單片機(jī)最小系統(tǒng)來(lái)控制的超聲波測(cè)距儀,其結(jié)構(gòu)框圖如下:?jiǎn)纹瑱C(jī)最小系統(tǒng)發(fā)射、接收(模擬電路)信號(hào)保持(數(shù)字電路)溫度傳感器按鍵、LCD顯示2-3 圖總結(jié)構(gòu)框圖2.3 超聲波的電路設(shè)計(jì)本系統(tǒng)的特點(diǎn)是利用單片機(jī)控制超聲波的發(fā)射和對(duì)超聲波自發(fā)射至接收往返時(shí)間的計(jì)時(shí)。介紹個(gè)電路的電路圖和簡(jiǎn)介。2.3.1 AT89C52的簡(jiǎn)介AT89C52是一個(gè)低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含8k bytes的可反復(fù)擦寫(xiě)的只讀程序存儲(chǔ)器(PEROM)和256 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,功能強(qiáng)大的AT89C52單片機(jī)可為您提供許多較復(fù)雜系統(tǒng)控制應(yīng)用場(chǎng)合。AT89C52有40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,3個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,2個(gè)讀寫(xiě)口線,AT89C52可以按照常規(guī)方法進(jìn)行編程,也可以在線編程。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫(xiě)的Flash存儲(chǔ)器可有效地降低開(kāi)發(fā)成本。AT89C52芯片的管腳、引線與功能AT89C52芯片圖如圖2-4:圖2-4AT89C52芯片圖(1)引腳信號(hào)介紹:P00P07 :P0口8位雙向口線P10P17 :P1口8位雙向口線P20P27 :P2口8位雙向口線P30P37 :P3口8位雙向口線訪問(wèn)程序存儲(chǔ)器控制信號(hào):當(dāng)信號(hào)為低電平時(shí),對(duì)ROM的讀操作限定在外部程序存儲(chǔ)器;而當(dāng)信號(hào)為高電平時(shí),則對(duì)ROM的讀操作是從內(nèi)部程序存儲(chǔ)器開(kāi)始,并可延至外部程序存儲(chǔ)器。ALE地址鎖存控制信號(hào):在系統(tǒng)擴(kuò)展時(shí),ALE用于控制把P0口輸出低8位地址鎖存起來(lái),以實(shí)現(xiàn)低位地址和數(shù)據(jù)的隔離。此外由于ALE是以晶振六分之一的固定頻率輸出的正脈沖,因此可作為外部時(shí)鐘或外部定時(shí)脈沖作用。外部程序存儲(chǔ)器讀選取通信號(hào):在讀外部ROM時(shí)有效(低電平),以實(shí)現(xiàn)外部ROM單元的讀操作。XTAL1和XTAL2外接晶體引線端:當(dāng)使用芯片內(nèi)部時(shí)鐘時(shí),此二引線端用于外接石英晶體和微調(diào)電容;當(dāng)使用外部時(shí)鐘時(shí),用于拉外部的時(shí)鐘脈沖信號(hào)。RST復(fù)位信號(hào):當(dāng)輸入的復(fù)位信號(hào)延續(xù)2個(gè)機(jī)器周期以上高電平時(shí)即為有效,用以完成單片機(jī)的復(fù)位初始化操作。 VSS:地線 VCC:+5V電源(2)P3口的第二功能如表2-1 表2-1 P3口的第二功能口線第二功能替代的專用功能P3.0RXD串行輸入口P3.1TXD串行輸出口P3.2外部中斷0P3.3外部中斷1P3.4T0定時(shí)器0的外部輸入P3.5T1定時(shí)器1的外部輸入P3.6外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通2.3.2 前置放大電路.考慮到超聲換能器的輸出電阻比較大,因此前置放大器必須有足夠大的輸入阻抗。前置放大電路是一個(gè)精密、高輸入阻抗儀表放大器AD620 構(gòu)成的精密放大器,如圖: 圖2-5 前置放大電路AD62O 是一種電阻可編程的放大器,其內(nèi)部是由三運(yùn)放組成的儀表放大器結(jié)構(gòu),內(nèi)部的電阻經(jīng)激光技術(shù)校準(zhǔn),整個(gè)放大器具有很高的精度和共模抑制比,其增益范圍在11000 之間,由管腳1 、8 之間的電阻調(diào)節(jié),增益公式為: G= (2.1) 由于在此采用了收發(fā)同體傳感器,因而收發(fā)信號(hào)之間要產(chǎn)生干擾,較大的發(fā)送信號(hào)能量有可能直接進(jìn)入接收電路,它要比回波大得多,因此,前級(jí)放大器會(huì)飽和,電路工作不穩(wěn)定,為此,接收信號(hào)放大器的輸入端要接入一對(duì)互為反向的二極管進(jìn)行箱位,以保護(hù)后面的放大電路。 此外,當(dāng)換能器從發(fā)射狀態(tài)切換到接收狀態(tài)的瞬間,其輸出電壓較高,但阻抗也高,易受噪聲影響,故通常需要接入一個(gè)偏流電阻凡。注意,凡的阻值不能太小,否則,換能器上的感應(yīng)電荷將通過(guò)它快速地放電,從而影響超聲波接收電路的靈敏度;同時(shí),凡的值也不能取得太大,以避免外部噪聲在接收電路中得到進(jìn)一步的放大。實(shí)驗(yàn)證明,凡取lookn 比較合適。2.3.3 復(fù)位電路復(fù)位電路是使單片機(jī)的CPU或系統(tǒng)中的其他部件處于某一確定的初始狀態(tài),并從這上狀態(tài)開(kāi)始工作。(1)單片機(jī)常見(jiàn)的復(fù)位電路通常單片機(jī)復(fù)位電路有兩種:上電復(fù)位電路,按鍵復(fù)位電路。上電復(fù)位電路:上電復(fù)位是單片機(jī)上電時(shí)復(fù)位操作,保證單片機(jī)上電后立即進(jìn)入規(guī)定的復(fù)位狀態(tài)。它利用的是電容充電的原理來(lái)實(shí)現(xiàn)的。按鍵復(fù)位電路:它不僅具有上電復(fù)位電路的功能,同時(shí)它的操作比上電復(fù)位電路的操作要簡(jiǎn)單的多。如果要實(shí)現(xiàn)復(fù)位的話,只要按下RESET鍵即可。它主要是利用電阻的分壓來(lái)實(shí)現(xiàn)的在此設(shè)計(jì)中,采用的按鍵復(fù)位電路。按鍵復(fù)位電路如圖2-6所示:圖2-6復(fù)位電路圖(2)復(fù)位電路工作原理上電復(fù)位要求接通電源后,單片機(jī)自動(dòng)實(shí)現(xiàn)復(fù)位操作。上電瞬間RESET引腳獲得高電平,隨著電容的充電,RERST引腳的高電平將逐漸下降。RERST引腳的高電平只要能保持足夠的時(shí)間(2個(gè)機(jī)器周期),單片機(jī)就可以進(jìn)行復(fù)位操作。上電與按鍵均有效的復(fù)位電路不僅在上電時(shí)可以自動(dòng)復(fù)位,而且在單片機(jī)運(yùn)行期間,利用按鍵也可以完成復(fù)位操作。故本設(shè)計(jì)選用第二種上電復(fù)位與按鍵均有效的各單位電路。2.3.4 時(shí)鐘電路時(shí)鐘電路用于產(chǎn)生單片機(jī)工作所需要的時(shí)鐘信號(hào),而時(shí)序所研究的是指令執(zhí)行中各信號(hào)之間的相互關(guān)系。單片機(jī)本身就如一個(gè)復(fù)雜的同步時(shí)序電路,為了保證同步工作方式的實(shí)現(xiàn),電路應(yīng)在唯一的時(shí)鐘信號(hào)控制下嚴(yán)格地作。(1)時(shí)鐘信號(hào)的產(chǎn)生單片機(jī)內(nèi)部有一個(gè)高增益反相放大器,其輸入端為芯片引腳XTAL1,其輸出端為引腳XTAL2。而在芯片的外部,XTAL1和XTAL2之間跨接晶體振蕩器和微調(diào)電容,從而構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。圖2-7 時(shí)鐘振蕩電路電容器C1和C2的作用是穩(wěn)定頻率和快速起振,電容值的范圍在5pF30pF,典型值為30pF。晶振的頻率通常選擇兩種6MHz和12MHz。只要在單片機(jī)的XTAL1和XTAL2引腳外接晶體振蕩器就構(gòu)成了自激振蕩器并在單片機(jī)內(nèi)部產(chǎn)生時(shí)鐘脈沖信號(hào)。(2)時(shí)鐘振蕩電路如圖2-7所示:2.3.5 報(bào)警電路 把“單片機(jī)系統(tǒng)”區(qū)域中的P1.0端口用導(dǎo)線連接到“音頻放大模塊”區(qū)域中的SPK IN端口上,在“音頻放大模塊”區(qū)域中的SPK OUT端口上接上一個(gè)8歐或者是16歐的喇叭。音頻放大模塊由LM386組成。報(bào)警電路如圖2-8所示: 圖2-8 報(bào)警電路 當(dāng)LED顯示所測(cè)定的轉(zhuǎn)速超過(guò)限定的的速度時(shí)報(bào)警信號(hào)從P1.0端口輸出,產(chǎn)生方波頻率,方波從P1.0輸出0.2秒,接著0.2秒從P1.0輸出電平信號(hào),經(jīng)音頻放大模塊放大,送入喇叭產(chǎn)生報(bào)警聲。2.3.6鍵盤(pán)電路鍵盤(pán)電路是很多設(shè)計(jì)中很重要的組成部分。它主要是輸入設(shè)備。單片機(jī)應(yīng)用系統(tǒng)中鍵盤(pán)有獨(dú)立式和行列式兩種。獨(dú)立式鍵盤(pán):獨(dú)立式鍵盤(pán)中,每個(gè)按鍵占用一根I/O口線,每個(gè)按鍵電路相對(duì)獨(dú)立。I/O口通過(guò)按鍵與地相連,I/O口有上拉電阻,無(wú)鍵按下時(shí),引腳端為高電平,有鍵按下時(shí),引腳電平被拉低。I/O口內(nèi)部有上拉電阻時(shí),外部可不接上拉電阻。行列式鍵盤(pán):行列式鍵盤(pán)鍵數(shù)比較多,從按一個(gè)鍵到鍵功能被執(zhí)行主要包括兩項(xiàng)工作:一是鍵的識(shí)別,即在鍵盤(pán)中找出被按的是那一個(gè)鍵,另一項(xiàng)是鍵功能的實(shí)現(xiàn),第一項(xiàng)是接口電路來(lái)實(shí)現(xiàn)的,而第二項(xiàng)是通過(guò)執(zhí)行中斷服務(wù)程序來(lái)實(shí)現(xiàn)的。具體來(lái)說(shuō),鍵盤(pán)借口應(yīng)完成以下操作功能: 鍵盤(pán)掃描,以判斷是否有鍵按下。 鍵識(shí)別,以來(lái)確定閉和鍵的行列位置。 產(chǎn)生閉和鍵的鍵碼。 排除多鍵,串鍵以及抖動(dòng)。本次設(shè)計(jì)中采用的是獨(dú)立式鍵盤(pán),鍵盤(pán)電路圖如圖2-9所示: 圖2-9與單片機(jī)相連的獨(dú)立式鍵盤(pán)2.4 超聲波發(fā)射與接收電路2.4.1 發(fā)射部分圖2-11 超聲波發(fā)射原理圖超聲波信號(hào)由單片機(jī)產(chǎn)生,信號(hào)經(jīng)過(guò)三極管后驅(qū)動(dòng)超聲波換能器發(fā)送超聲波,途中碰到障礙物就立即返回。否則認(rèn)為沒(méi)有探測(cè)到物體。此電路有兩個(gè)三極管構(gòu)成推拉式驅(qū)動(dòng)電路,三極管工作在開(kāi)關(guān)狀態(tài)。當(dāng)信號(hào)線來(lái)一個(gè)高電平時(shí),三極管Q1導(dǎo)通,Q1的集電極高電平,Q2截止,Q2的發(fā)射極為低電平,從而使超聲波換能器工作。在此電路圖中的電容(C1)起隔直耦合作用。2.4.2 接收電路圖2-12 超聲波接收原理圖超聲波接收是用來(lái)將探測(cè)波回波的聲能轉(zhuǎn)換為電信號(hào),實(shí)現(xiàn)超聲波回波的接收。在被測(cè)物距離較遠(yuǎn)的情況下回波很弱,要求將信號(hào)多次放大,放大后的信號(hào)整形、比較、觸發(fā)后產(chǎn)生中斷信號(hào),此中斷信號(hào)向CPU發(fā)中斷請(qǐng)求,執(zhí)行中斷服務(wù)程序中,讀取計(jì)時(shí)器的定時(shí)值。此電路由信號(hào)放大部分,檢波部分,電壓比較部分和信號(hào)保持部分組成,收到的信號(hào)經(jīng)過(guò)兩級(jí)放大,再通過(guò)倍壓式峰值檢波電路檢波,得到一個(gè)基本穩(wěn)定的信號(hào),再通過(guò)與電壓比較器比較,若信號(hào)電壓大于參考電壓,則輸出高電平,若低于參考電壓則輸出為低電平,若輸出高電平,則RS觸發(fā)器觸發(fā),輸出高電平,且一直保持下去,直到單片機(jī)給出控制信號(hào),觸發(fā)器回到低電平狀態(tài)。超聲波從發(fā)射到接收時(shí)間間距的測(cè)量,是由單片機(jī)內(nèi)部的計(jì)數(shù)器(如T0)來(lái)完成的 。超聲波從發(fā)射到接收的時(shí)間間隔的測(cè)量, 是由單片機(jī)內(nèi)部的計(jì)數(shù)器(如T 0) 來(lái)完成的。在CPU 停止發(fā)送脈沖群后, 由于電阻尼, 換能器不會(huì)立即停止發(fā)送超聲波, 在一定時(shí)間內(nèi)仍然發(fā)送。這段時(shí)間的存在使系統(tǒng)不能夠測(cè)量比較近的物體, 形成所謂的“盲區(qū)”, 需要對(duì)盲區(qū)時(shí)間產(chǎn)生的信號(hào)進(jìn)行屏蔽, 不同性能的超聲波換能器的盲區(qū)有所差異, 以一個(gè)通道工作的時(shí)序?yàn)槔M(jìn)行說(shuō)明, 如圖2-8 所示。圖2-12一個(gè)通道的工作時(shí)序2.4.3 帶通濾波器帶通濾波器采用無(wú)限增益多路反饋型濾波電路,它是由一個(gè)理論上具有無(wú)限增益運(yùn)算放大器賦以多路反饋構(gòu)成的濾波電路。如圖2-13 所示是由單一運(yùn)算放大器構(gòu)成的無(wú)限增益多路反饋二階帶通濾波電路的基本結(jié)構(gòu)。濾波器參數(shù)為A ( 2-1 ) ( 2-2 ) ( 2-3 )圖2-13 帶通濾波器無(wú)限增益多路反饋型濾波電路由于沒(méi)有正反饋,故穩(wěn)定性高。為計(jì)算方便,可先選定CA ,A, Q =4 ,由以上方程聯(lián)立得R,R.濾波器輸出再通過(guò)一級(jí)放大后接采集卡進(jìn)行A/D 采樣。末級(jí)放大電路是由普通的反向運(yùn)算放大器和電阻元件構(gòu)成,通過(guò)調(diào)節(jié)電位器來(lái)放大器的增益,使之輸出幅值滿足采樣電路的要求即可。2.4.4溫度檢測(cè)電路 采用美國(guó)答拉斯(Dallas)公司的單線數(shù)字溫度傳感器芯片DS18B20作為溫度傳感器,與傳統(tǒng)的熱敏電阻所不同,DS18B20可直接將被測(cè)溫度轉(zhuǎn)換成串口數(shù)字信號(hào),一供單片機(jī)處理,DS18B20可以實(shí)現(xiàn)912位的溫度讀取,信息經(jīng)過(guò)單線借口送入DS18B20或從DS18B20送出,因此從微處理器到DS18B20僅需連接一條信號(hào)線和地址線。讀、些和執(zhí)行溫度變換所需的電源可以由數(shù)據(jù)本身供,而不需要外部電源。溫度傳感器(DS18B20)的引腳分布圖如2-14,其封裝為(DIP8或三極管封裝)。圖2-14 18B20的引腳分布圖引腳功能如下:NC (1、2、6、7、8腳):空引腳,懸空不使用VDD(3腳):可選電源腳,電源電壓范圍33.5V。當(dāng)工作寄生電源時(shí),此引腳必須接地。DQ(4腳):數(shù)據(jù)輸入/輸出腳。漏極開(kāi)路,常態(tài)下為高電平。GND(5腳):接地2.4.5 發(fā)射接收模塊總原理圖圖2-15 發(fā)射接收模塊總原理圖第3章 軟件設(shè)計(jì)3.1主流程圖程序開(kāi)始輸入要溫度為狀態(tài)選擇作準(zhǔn)備。狀態(tài)選擇分為手動(dòng)控制與實(shí)時(shí)控制。當(dāng)選擇手動(dòng)控制時(shí)程到手動(dòng)控制部分,然后判斷是否有鍵按下。如果有鍵按下,則出現(xiàn)高電率。程序判斷為yes;然后控制。發(fā)射超聲波后,通過(guò)傳感器等待回波。如果判斷為高電平則表示有回波則進(jìn)入中斷服務(wù)。如果沒(méi)有則回到是否有鍵按下的判斷。如果選擇實(shí)時(shí)控制部分,則由實(shí)時(shí)控制超聲波的發(fā)射。等待回波程序如果通過(guò)傳感器收到超聲波。則進(jìn)入中斷服務(wù)程序,否是返回重新。中斷服務(wù)后,通過(guò)數(shù)據(jù)運(yùn)算,顯示距離,再返回到狀態(tài)的選擇。 圖3-1主流程圖3.2溫度讀取程序首先開(kāi)始,初始化地址,通過(guò)地址得到應(yīng)答脈沖,如果沒(méi)有則返回,重新應(yīng)答,應(yīng)答脈沖為高電平,則skip rom命令。再發(fā)生conver T命令后,延時(shí)1s等待溫度1s等待溫度轉(zhuǎn)的完成,溫度轉(zhuǎn)換完成后,在初始化地址,給出應(yīng)答脈沖時(shí)發(fā)出Read Scratchpad命令。后讀出先后脈沖,讀取第12字節(jié)溫度數(shù)據(jù),再返回開(kāi)始初始化程序。 圖3-2 溫度檢測(cè)程序3.3 LCD顯示流程圖程序開(kāi)始初始化后,檢查忙信號(hào)如果有則寫(xiě)入命令函數(shù),如果沒(méi)有則重新檢查忙信號(hào),至到有信號(hào)為止,寫(xiě)完命令函數(shù)則寫(xiě)入數(shù)據(jù)函數(shù),通過(guò)一定的延時(shí)程序,再返回到程序初始代。圖3-3 LCD顯示流程圖3.4外中斷服務(wù)程序關(guān)定時(shí)器關(guān)中斷讀取時(shí)間值返回圖3-4外中斷服務(wù)程序 當(dāng)收到外部中斷信號(hào)時(shí),關(guān)閉定時(shí)器,關(guān)閉中斷。讀取當(dāng)時(shí)的時(shí)間值并存儲(chǔ)時(shí)間值。返回主程序。3.5 超聲波發(fā)射程序定時(shí)器初始化發(fā)射超聲波開(kāi)計(jì)時(shí)器返回停止發(fā)射圖3-5 超聲波發(fā)射程序第4章 調(diào)試說(shuō)明誤差分析4.1軟件調(diào)試和硬件調(diào)試4.1.1軟件調(diào)試系統(tǒng)軟件設(shè)計(jì)、調(diào)試的過(guò)程如圖41所示源程序編輯 編譯Y有語(yǔ)法錯(cuò)誤? 動(dòng)態(tài)在線調(diào)試 成功有邏輯錯(cuò)誤?NYN圖41其過(guò)程分為以下幾個(gè)步驟:第一步,建立源程序。通過(guò)計(jì)算機(jī)開(kāi)發(fā)系統(tǒng)的編輯軟件,按照所要求的格式、語(yǔ)法規(guī)定、源程序輸入到開(kāi)發(fā)系統(tǒng)中,并存在磁盤(pán)上。第二步,在計(jì)算機(jī)上,利用KILE軟件對(duì)第一步輸入的源程序進(jìn)行編譯,變?yōu)榭蓤?zhí)行的目標(biāo)代碼。如果源程序有語(yǔ)法錯(cuò)誤,則其錯(cuò)誤將顯示出來(lái),然后返回到第一步進(jìn)行修改,再進(jìn)行編譯,直到語(yǔ)法錯(cuò)誤全部糾正為止。第三步,動(dòng)態(tài)在線調(diào)試。對(duì)于與系統(tǒng)、硬件無(wú)聯(lián)系的程序,可以借助動(dòng)態(tài)在線調(diào)試手段,如單步運(yùn)行、設(shè)置斷點(diǎn)等,發(fā)現(xiàn)邏輯錯(cuò)誤,然后返回到第一步修改,直到邏輯錯(cuò)誤糾正為止。對(duì)于與系統(tǒng)硬件緊密相關(guān)的程序,則需軟件,硬件同時(shí)進(jìn)行調(diào)試,將程序燒入CPU,然后將CPU 插入系統(tǒng),發(fā)現(xiàn)硬件故障排除故障,發(fā)現(xiàn)邏輯錯(cuò)誤,修改程序,消除邏輯錯(cuò)誤。4.1.2 硬件調(diào)試 硬件調(diào)試分兩步:第一步:系統(tǒng)上電之前,先用萬(wàn)用表等工具,根據(jù)硬件邏輯設(shè)計(jì)圖,仔細(xì)檢查線路是否連接正確,并核對(duì)元器件的型號(hào)、規(guī)格和安裝是否符合要求,應(yīng)特別注意電源系統(tǒng)的檢查,以防止電源的短路和極性錯(cuò)誤,并重點(diǎn)檢查系統(tǒng)總線是否存在相互之間短路或與其它信號(hào)線的短路。第二步:第一步的調(diào)試,只是對(duì)系統(tǒng)進(jìn)行初步調(diào)試,可以排除一些明顯的故障,而硬件故障(如各個(gè)部件內(nèi)部存在的故障和部件之間連接的邏輯錯(cuò)誤)主 要是靠軟件和硬件聯(lián)調(diào)來(lái)排除。硬件調(diào)試和軟件調(diào)試是不能完全分開(kāi)的,許多硬件錯(cuò)誤是在軟件調(diào)試中發(fā)現(xiàn)和被糾正的。4.2 誤差分析4.2.1超聲波回波聲強(qiáng)的影響回波的聲強(qiáng)與障礙物距離的遠(yuǎn)近有直接關(guān)系, 實(shí)際測(cè)量時(shí), 不一定是第一個(gè)回波的過(guò)零點(diǎn)觸發(fā), 其原理如圖4-2所示。這種誤差不能從根本上消除, 但是可以通過(guò)根據(jù)障礙物的距離調(diào)整脈沖群的脈沖個(gè)數(shù)以及動(dòng)態(tài)調(diào)整比較電壓來(lái)減小這種誤差。另一方面將求距離公式后加一個(gè)補(bǔ)償系數(shù)來(lái)補(bǔ)償計(jì)時(shí)誤差,(a 與距離、脈沖個(gè)數(shù)相關(guān)) 。圖4-2脈沖個(gè)數(shù)與回波聲強(qiáng)對(duì)計(jì)時(shí)影響示意圖4.2.2 超聲波波束入射角的影響如果系統(tǒng)是用來(lái)測(cè)量面與點(diǎn)的距離, 則被測(cè)物、換能器及換能器所在測(cè)量參考平面三者之間存在一個(gè)幾何角度, 即反射波入射到換能器的角度, 當(dāng)這個(gè)角度不是90時(shí), 系統(tǒng)測(cè)量到的距離是障礙物與換能器之間的距離而不是和測(cè)量參考平面之間的距離, 這就會(huì)造成測(cè)量誤差, 如圖5-2 所示。圖5-2超聲波回波入射角影響分析圖當(dāng)障礙物的距離較小時(shí), 這個(gè)誤差就會(huì)成為近距離時(shí)的主要誤差來(lái)源。可以用多個(gè)換能器同時(shí)測(cè)量, 利用幾何關(guān)系來(lái)計(jì)算得出實(shí)際距離, 消除這種誤差。 (4-1) 式中 -換能器a 、b到被測(cè)物的距離 - 換能器a、b之間的距離 -被測(cè)物到測(cè)量的距離4.2.3 超聲波傳播速度的影響穩(wěn)定準(zhǔn)確的聲波傳播速度是保證測(cè)量精度的必要條件, 傳播介質(zhì)的溫度、壓力及密度對(duì)聲速都產(chǎn)生直接影響。對(duì)于在大氣中傳播的聲波而言, 引起聲速變化的主要原因是溫度的變化。采用聲速預(yù)置和傳播介質(zhì)溫度測(cè)量結(jié)合的方法對(duì)聲速進(jìn)行修正, 可有效地降低溫度變化產(chǎn)生的誤差。在對(duì)距離的精確度要求不高的應(yīng)用中可以不進(jìn)行溫度補(bǔ)償, 選擇室溫20左右時(shí)的聲速340m/s作為固定參數(shù), 當(dāng)溫度在- 10 40 之間變化時(shí)聲速誤差在5% 之間。如果在室外測(cè)量, 對(duì)于季節(jié)溫差大的地區(qū), 還可以采用預(yù)置該地區(qū)12 個(gè)月的統(tǒng)計(jì)溫度, 用以對(duì)溫度進(jìn)行補(bǔ)償, 既可提高精度, 又不增加成本。影響測(cè)量誤差的因素8 很多, 包括現(xiàn)場(chǎng)環(huán)境干擾、時(shí)基脈沖頻率等。在實(shí)際應(yīng)用中可以根據(jù)系統(tǒng)測(cè)量精度要求, 采用合理的補(bǔ)償手段。4.3實(shí)測(cè)比較表4-1 實(shí)際測(cè)量值與測(cè)量值的比較實(shí)測(cè)值測(cè)量值0.64m0.64m1.24m1.24m1.84m1.84m2.44m2.44m3.04m3.04m3.64m3.65m4.24m4.25m結(jié)束語(yǔ)超聲測(cè)距原理簡(jiǎn)單,成本低、制作方便,但由于目前存在的超聲測(cè)距系統(tǒng)作用距離短,所以在很多領(lǐng)域的應(yīng)用有著一定的局限性,因此,研制和開(kāi)發(fā)高精度、大作用距離超聲測(cè)距系統(tǒng),仍然是當(dāng)今超聲測(cè)距領(lǐng)域中富有挑戰(zhàn)性的課題之一。本文通過(guò)對(duì)壓電換能器結(jié)構(gòu)的分析,設(shè)計(jì)了一種大作用距離的超聲換能器。超聲測(cè)距技術(shù)是一門(mén)融合了聲學(xué)、力學(xué)、電子學(xué)、材料學(xué)等多方面技術(shù)的學(xué)科,每一項(xiàng)技術(shù)的新發(fā)現(xiàn)都會(huì)推動(dòng)超聲學(xué)的進(jìn)展。新型換能器及大功率驅(qū)動(dòng)電源等技術(shù)的發(fā)展必將使超聲的測(cè)距范圍進(jìn)一步擴(kuò)大,結(jié)合快速時(shí)延搜索算法,超聲測(cè)距技術(shù)將廣泛應(yīng)用于移動(dòng)機(jī)器人自動(dòng)導(dǎo)航系統(tǒng)、汽車(chē)防撞預(yù)警系統(tǒng)、機(jī)械手定位系統(tǒng)、交通流量監(jiān)測(cè)系統(tǒng)等方面。另外,若在超聲測(cè)距中采用擴(kuò)頻技術(shù),將在很大程度上解決遠(yuǎn)距離測(cè)量與分辨力之間的矛盾,提高測(cè)距系統(tǒng)的信噪比和分辨率。而且,采用偽隨機(jī)碼擴(kuò)頻解擴(kuò)方法,容易實(shí)現(xiàn)碼分多址(只要給安裝在大范圍測(cè)量區(qū)域中多個(gè)傳感器分配相應(yīng)的偽隨機(jī)碼,就可以方便地辨認(rèn)出各個(gè)區(qū)域傳感器發(fā)出的信號(hào)),擴(kuò)大超聲測(cè)距的測(cè)量范圍。比常規(guī)超聲測(cè)距方法具有更廣闊的應(yīng)用前景。在這次畢業(yè)設(shè)計(jì)中也使我們的同學(xué)關(guān)系更進(jìn)一步了,同學(xué)之間互相幫助,有什么不懂的大家在一起商量,聽(tīng)聽(tīng)不同的看法讓我們更好的理解知識(shí),所以在這里非常感謝幫助我的同學(xué)。我的心得也就這么多了,總之,不管學(xué)會(huì)的還是學(xué)不會(huì)的的確覺(jué)得困難比較多,真是萬(wàn)事開(kāi)頭難,不知道如何入手。最后終于做完了有種如釋重負(fù)的感覺(jué)。此外,還得出一個(gè)結(jié)論:知識(shí)必須通過(guò)應(yīng)用才能實(shí)現(xiàn)其價(jià)值!有些東西以為學(xué)會(huì)了,但真正到用的時(shí)候才發(fā)現(xiàn)是兩回事,所以我認(rèn)為只有到真正會(huì)用的時(shí)候才是真的學(xué)會(huì)了。參考文獻(xiàn)1 王瑞華脈沖變壓器設(shè)計(jì)北京:科學(xué)出版社,1996 .2 張國(guó)雄,金篆芷測(cè)控電路北京:機(jī)械工業(yè)出版社,2001 .3 李翰蓀主編,電路分析M,北京:中央廣播電視大學(xué)出版社. 4 楊素行主編,模擬電子技術(shù)基礎(chǔ)簡(jiǎn)明教程M,北京:高等教育出版社,1998.10.5 全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽作品選,1999年.6 電子系統(tǒng)設(shè)計(jì),浙江大學(xué)出版社2000.7 潘松,EDA技術(shù)應(yīng)用M,北京:科學(xué)出版社,2002.10.8 周立功,基于ARM7設(shè)計(jì),北京:北航出版社,2005.3. 9 謝自美,電子電路設(shè)計(jì),華中理工出版社期2000.10 張國(guó)雄,金篆芷測(cè)控電路北京:機(jī)械工業(yè)出版社,2001 .11 單片機(jī)原理與接口技術(shù),北航出版社,1999.4.12 龔耀寰自適應(yīng)濾波北京:電子工業(yè)出版社,1989 .13 召華,劉貴忠,馬社祥基于正交小波變換的瞬變步長(zhǎng)的LMS 自適應(yīng)濾波算法通訊學(xué)報(bào),2001 , 22.14 童峰,許水源,許天增基于遺傳算法的超聲信號(hào)自適應(yīng)時(shí)延估計(jì)應(yīng)用聲學(xué),2000 , 19.附 錄 1 源程序#include#include#define uchar unsigned char#define uint unsigned int#define ulong unsigned longuint c=340;ulong l,time;char i=1,j=1,k=80,aa;char t,tp=10,ts,tg,lb,ls,lg,lsf,fh,cb,cs,cg;sbit RW=P21;sbit RS=P20;sbit E=P22;sbit rece=P33;sbit k2=P11;sbit k1=P10;sbit k3=P12;sbit k4=P13;sbit sg=P37;sbit ctl=P35;void delay(uint i) /延時(shí)程序/while(i-); /*液晶顯示*/void writercom(uchar q) /寫(xiě)命令/E=1; RS=0; RW=0; P0=q; E=0; delay(20); void writerdata(uchar o)/寫(xiě)數(shù)據(jù)/ E=1; RS=1; RW=0; P0=o; E=0; delay(20); void writer_d(uchar *u)/寫(xiě)數(shù)據(jù)串/while(*u) writerdata(*u+); void xsinit(void)writercom(0 x01); writercom(0 x38);/使用8位數(shù)據(jù),顯示兩行,使用5*7的字型/ writercom(0 x0c);/顯示設(shè)置,不顯示光標(biāo),字符不顯閃瑣/ writercom(0 x06);/光標(biāo)從左往右移,內(nèi)容不移/ /*超聲波發(fā)射程序*/void sen() /超聲波發(fā)射程序/uchar times=0; TMOD=0 x12; /定時(shí)器1工作在定時(shí)方式1,定時(shí)器0工作在定時(shí)方式2/ IE=0 x84; /中斷設(shè)置/ TH0=244;

注意事項(xiàng)

本文(畢業(yè)論文-超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì).doc)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!