歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

乘4矩陣鍵盤(pán)輸入數(shù)碼管顯示四位數(shù)

  • 資源ID:25174927       資源大小:892.56KB        全文頁(yè)數(shù):23頁(yè)
  • 資源格式: DOCX        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

乘4矩陣鍵盤(pán)輸入數(shù)碼管顯示四位數(shù)

綜合課 程設(shè)計(jì)三相步進(jìn)電機(jī)控制器電路的設(shè)計(jì)班級(jí)學(xué)號(hào)學(xué)生姓名指導(dǎo)教師課程設(shè)計(jì)任務(wù)書(shū)一、設(shè)計(jì)說(shuō)明步進(jìn)電機(jī)是工業(yè)過(guò)程控制及儀表控制中的主控元件之一, 作為執(zhí)行元件其特點(diǎn)為能夠快速起啟停、 精度高且能直接接收數(shù)字量, 由于這些特點(diǎn)使其在定位場(chǎng)合得到了廣泛的應(yīng)用。設(shè)計(jì)一個(gè)三相步進(jìn)電機(jī)控制器,使其能夠控制步進(jìn)電機(jī)的工作狀態(tài),如步進(jìn)電機(jī)正、反轉(zhuǎn),步進(jìn)電機(jī)的工作方式等。用鍵盤(pán)設(shè)定步進(jìn)電機(jī)的工作頻率,工作方式,并用數(shù)碼管顯示設(shè)定值,可以通過(guò)按鍵來(lái)更換顯示內(nèi)容。 用示波器觀測(cè)三相的輸出波形, 并用數(shù)碼管顯示電路的工作狀態(tài)。二、技術(shù)指標(biāo)步進(jìn)電機(jī)的工作頻率為: <10kHz三、設(shè)計(jì)要求1進(jìn)行方案論證,提出一個(gè)合理的設(shè)計(jì)方案并進(jìn)行理論設(shè)計(jì);2對(duì)所設(shè)計(jì)的方案部分進(jìn)行調(diào)試;3在選擇器件時(shí),應(yīng)考慮成本。4設(shè)計(jì)測(cè)量調(diào)試電路。四、實(shí)驗(yàn)要求1根據(jù)技術(shù)指標(biāo)制定實(shí)驗(yàn)方案;驗(yàn)證所設(shè)計(jì)的電路。2進(jìn)行實(shí)驗(yàn)數(shù)據(jù)處理和分析。五、推薦參考資料1 .謝自美.電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試.M武漢:華中理工大學(xué)出版社,2000 年2 .閻石. 數(shù)字電子技術(shù)基礎(chǔ). M 北京:高等教育出版社, 2006年3 .童詩(shī)白、華成英.模擬電子技術(shù)基礎(chǔ). M 北京:高等教育出版社, 2006年4 .付家才. 電子實(shí)驗(yàn)與實(shí)踐. M 北京:高等教育出版社, 2004 年5沙占友、李學(xué)芝著.中外數(shù)字萬(wàn)用表電路原理與維修技術(shù). M 北京:人民郵電出版社, 1993 年六、按照要求撰寫(xiě)課程設(shè)計(jì)報(bào)告成績(jī)?cè)u(píng)定表評(píng)語(yǔ)、建議或需要說(shuō)明的問(wèn)題:指導(dǎo)教師簽字:日期:成績(jī)沈陽(yáng)航空航天大學(xué)綜合課程設(shè)計(jì)沈陽(yáng)航空航天大學(xué)綜合課程設(shè)計(jì)一、概述本次畢設(shè)的題目是:三相步進(jìn)電機(jī)控制電路的設(shè)計(jì)。本次畢設(shè)使用80C51單片機(jī)作為主控芯片,利用 ULN2003Aft成電路作為三相步進(jìn)電機(jī)的驅(qū)動(dòng)電路, 采用單極性驅(qū)動(dòng)方式,使三相步進(jìn)電機(jī)能在(1)三相單三拍,(2)三相雙三拍, (3)三相六拍三種工作方式下正常工作;能實(shí)現(xiàn)的功能有:?jiǎn)?dòng)/停止控制、方向控制;速度控制;用LED數(shù)碼管顯示工作方式。鍵盤(pán)輸入工作頻率。本次課程 設(shè)計(jì)采用80C51單片機(jī)作為主控芯片,程序采用 C語(yǔ)言來(lái)編寫(xiě),驅(qū)動(dòng)電路采用 ULN2003A君成電路,顯示采用 7SEG-MPX4-C以四位共陰數(shù)碼管,P0接段碼, 并用8只1K歐左右電阻上拉。P2的4位IO 口接位選碼。正轉(zhuǎn),數(shù)碼管顯示1。 反轉(zhuǎn),數(shù)碼管顯示2.不轉(zhuǎn),數(shù)碼管顯示0.采用Proteus軟件進(jìn)行仿真。在Keil uVsuon3編程環(huán)境下編程和編譯生成 HEXS:件,導(dǎo)入到80C51單片機(jī),實(shí)現(xiàn)對(duì)各 個(gè)模塊的控制,實(shí)現(xiàn)我們所需要的功能。本次課程是對(duì)畢業(yè)設(shè)計(jì)的基礎(chǔ)設(shè)計(jì), 即實(shí)現(xiàn)4x4鍵盤(pán)輸入,數(shù)碼管顯示輸入 數(shù)字的設(shè)計(jì)。二、方案論證1步進(jìn)電機(jī)驅(qū)動(dòng)方案選擇方案1:使用功率三極管等電子器件搭建成功率驅(qū)動(dòng)電路來(lái)驅(qū)動(dòng)電機(jī)的運(yùn)行。這種方案的驅(qū)動(dòng)電路的優(yōu)點(diǎn)是使用電子器件聯(lián)接,電路比較簡(jiǎn)單,但容易受干擾,信號(hào)不夠穩(wěn)定,缺點(diǎn)是器件較大而不便電路的集成,使用時(shí)很不方便,聯(lián) 接時(shí)容易出錯(cuò)誤。方案2:使用專門(mén)的電機(jī)驅(qū)動(dòng)芯片 ULN2003AB區(qū)動(dòng)電機(jī)運(yùn)行。驅(qū)動(dòng)芯片的 優(yōu)點(diǎn)是便于電路的集成,且驅(qū)動(dòng)電路簡(jiǎn)單,驅(qū)動(dòng)信號(hào)很穩(wěn)定,不易受外界環(huán)境的 干擾,因而設(shè)計(jì)的三相步進(jìn)電機(jī)控制系統(tǒng)性能更好。通過(guò)對(duì)兩種方案的比較,我選擇方案 2使用ULN2003At機(jī)驅(qū)動(dòng)芯片來(lái)作為 驅(qū)動(dòng)。2數(shù)碼管顯示方案選擇方案1:把所需要顯示的數(shù)據(jù)通過(guò)專用的七段顯示譯碼器 (例如7448)的轉(zhuǎn) 換輸出給LED顯示屏。優(yōu)點(diǎn)是輸出比較簡(jiǎn)單,可以簡(jiǎn)化程序,但增加了芯片的費(fèi) 用,電路也比較復(fù)雜。方案2:通過(guò)程序把所要的數(shù)據(jù)轉(zhuǎn)化為七段顯示的數(shù)據(jù),直接通過(guò)單片機(jī)接 口來(lái)顯示,具優(yōu)點(diǎn)是簡(jiǎn)化了電路,但增加了軟件編寫(xiě)的負(fù)擔(dān)。通過(guò)對(duì)兩種方案進(jìn)行比較,我選擇通過(guò)軟件編寫(xiě)來(lái)輸出顯示信號(hào),即單片機(jī) 直接和顯示器相連。3控制狀態(tài)的讀取方案1:把按鍵接到單片機(jī)的中斷口,若有按鍵按下,單片機(jī)接收到中斷信號(hào),再通過(guò)軟件編寫(xiě)的中斷程序來(lái)執(zhí)行中斷,優(yōu)點(diǎn)是接線簡(jiǎn)單,簡(jiǎn)化了電路,但 軟件編寫(xiě)較為復(fù)雜,不易掌握。方案2:不使用中斷,直接把開(kāi)關(guān)分別接在單片機(jī)的接口上,通過(guò)查詢端口 信號(hào)來(lái)動(dòng)作。通過(guò)對(duì)方案的比較,我選擇通過(guò)中斷來(lái)讀取端口信號(hào)。三、控制系統(tǒng)的工作原理1三相步進(jìn)電機(jī)控制工作原理(1)步進(jìn)電機(jī)的工作原理U1U2圖1步進(jìn)電機(jī)三相接線圖如圖1所示,U1、VI、W1接電源,分別有三個(gè)開(kāi)關(guān)控制,U2 V2、W2分別 接地。如果給處于錯(cuò)齒狀態(tài)的相通電,則轉(zhuǎn)子在電磁力的作用下,將向磁導(dǎo)率最大 (即最小磁阻位置)位置轉(zhuǎn)動(dòng),即向趨于對(duì)齒的狀態(tài)轉(zhuǎn)動(dòng)。(2)步進(jìn)電機(jī)的工作方式三相步進(jìn)電機(jī)可以在三相單拍,三相雙拍和三相六拍三種工作過(guò)方式下工 作。在三相單三拍工作方式運(yùn)行時(shí),通電順序?yàn)椋篈-B-C-A;三相雙三拍工作方式 運(yùn)行時(shí),通電順序?yàn)椋篈B-BC-CA-AB三相六拍工作方式運(yùn)行時(shí),通電順序?yàn)椋?A-AB-B-BC-C-CA-A,因此要輸出相應(yīng)的控制字進(jìn)行控制。步進(jìn)電機(jī)的轉(zhuǎn)向控制如果給定工作方式正序換相通電,步進(jìn)電機(jī)正轉(zhuǎn)。若步進(jìn)電機(jī)的勵(lì)磁方式為 三相六拍,即A-AB-B-BC-C-CA。如果按反序通電換相,即則電機(jī)就反轉(zhuǎn)。其他 方式情況類似。 步進(jìn)電機(jī)的啟??刂撇竭M(jìn)電機(jī)由于其電氣特性,運(yùn)轉(zhuǎn)時(shí)會(huì)有步進(jìn)感,即振動(dòng)感。為了使電機(jī)轉(zhuǎn)動(dòng)平滑,減小振動(dòng),可在步進(jìn)電機(jī)控 制脈沖的上升沿和下降沿采用細(xì)分的梯形 波,可以減小步進(jìn)電機(jī)的步進(jìn)角,提高電機(jī)運(yùn)行的平穩(wěn)性。在步進(jìn)電機(jī)停轉(zhuǎn)時(shí), 為了防止因慣性而使電機(jī)軸產(chǎn)生順滑,則需采用合適的鎖定波形,產(chǎn)生鎖定磁力矩,鎖定步進(jìn)電機(jī)的轉(zhuǎn)軸,使步進(jìn)電機(jī)的轉(zhuǎn)軸不能自由轉(zhuǎn)動(dòng)。(5)步進(jìn)電機(jī)的速度控制脈沖的頻率越高,電動(dòng)機(jī)運(yùn)轉(zhuǎn)的速度越快,否則,速度就越慢。因而通過(guò)延 時(shí)程序控制輸出脈沖的頻率,就可以實(shí)現(xiàn)對(duì)步進(jìn)電機(jī)速度的控制。2控制系統(tǒng)的設(shè)計(jì)思路此次我所設(shè)計(jì)的是一個(gè)三相步進(jìn)電機(jī)控制系統(tǒng),主要由單片機(jī)80C51, 3相步進(jìn)電機(jī),7段數(shù)碼管,及一些其他相關(guān)元件設(shè)計(jì)而成??梢酝ㄟ^(guò)開(kāi)關(guān)來(lái)控制系 統(tǒng)的啟/停工作,當(dāng)系統(tǒng)運(yùn)轉(zhuǎn)時(shí),用開(kāi)關(guān)來(lái)控制方向,并使相應(yīng)的指示燈亮起, 同樣由開(kāi)關(guān)來(lái)選擇工作模式。運(yùn)轉(zhuǎn)時(shí),用7段數(shù)碼管來(lái)顯示工作狀態(tài)。最后根據(jù) 思路所設(shè)計(jì)出來(lái)的硬件圖設(shè)計(jì)相適應(yīng)的軟件。3控制系統(tǒng)的整體框圖圖2為系統(tǒng)總體設(shè)計(jì)整體框圖,單片機(jī)80C51, ULN2003A動(dòng)芯片,三相步 進(jìn)電機(jī),7段LE躁碼管等一些電路模塊組成。圖2系統(tǒng)的整體框圖4控制系統(tǒng)的硬件設(shè)計(jì)設(shè)計(jì)一個(gè)單片機(jī)三相步進(jìn)電機(jī)控制系統(tǒng)要求系統(tǒng)具有如下功能:(1)用4x4鍵盤(pán)輸入步進(jìn)電機(jī)的工作頻率及工作狀態(tài),如單三拍、雙三拍、 相六拍。(2)正轉(zhuǎn)時(shí)數(shù)碼管顯示1,反轉(zhuǎn)時(shí)數(shù)碼管顯示2,不轉(zhuǎn)時(shí)數(shù)碼管顯示0;(3)用數(shù)碼管顯示工作狀態(tài)。四、電路設(shè)計(jì)1.單片機(jī)最小系統(tǒng)單片機(jī)最小系統(tǒng)由晶振、瓷片電容、電解電容、電阻、開(kāi)關(guān)及電源組成。單片機(jī)最小系統(tǒng)復(fù)位電路的極性電容的大小直接影響單片機(jī)的復(fù)位時(shí)間,一般采用1030uF, 51單片機(jī)最小系統(tǒng)容值越大需要的復(fù)位時(shí)間越短;單片機(jī)最小系統(tǒng)品 振可以采用6MHz或者11.0592MHz,在正常工作的情況下可以采用更高頻率的 晶振;51單片機(jī)最小系統(tǒng)晶振的振蕩頻率直接影響單片機(jī)的處理速度,頻率越大處理速度越快,單片機(jī)最小系統(tǒng)起振電容一般采用 1533pF,并且電容離晶振越近越好,晶振離單片機(jī)越近越好“ICRYSTALC2AG320ufF1 Dpi7Pl 2pT3Pl 4Fi5P1BFT733I0F>XTAL1PO.OKDOP0.1Z4D1P0.2AflJD2XTAL2P0.3/WD3P0.4XAD4P0.5/AD5P0.B/AD6RSTP0.7XAD7P2.0ZA6P2.1/A9P22fAiOPSENP2.3/A11ALEP2.4/A12EAP2.5fM3P2.6/A1 4P2.7/A1SP1 .P3 OXRXDP1.1P31/TXDP1 .2P3.2/IMT0P1 3P3 3)INT1P1 .4P3.4/T0P1 .5P3.5JT1PI .sP3 6AM?P1 .7P3.7/RDU1ATS9CS1s7 29 30 39 3837383S3433322122232425I2B27_Uj.12131 415_LL圖3單片機(jī)最小系統(tǒng)2.4x4矩陣鍵盤(pán)電路首先,對(duì)P1賦值使P1=0x11,然后令第一行即P17等于零,如果第一行有 按鍵按下,則P0至P3的值會(huì)發(fā)生變化:如果第一個(gè)按鍵按下,則 P10等于0; 如果第二個(gè)按鍵按下,則P11等于0;如果第三個(gè)按鍵按下,則 P12等于0;如 果第四個(gè)按鍵按下,則P13等于0。按此規(guī)律,直至四行掃描完畢。AT69C51R3JOIRXD 用1例 陽(yáng)2通 P3E而WJiTO 陽(yáng)迎 P3強(qiáng) P37W圖4矩陣鍵盤(pán)3.數(shù)碼管顯示電路四位7段數(shù)碼管,位選端接P2 口,段選端通過(guò)排阻連接到接 P0 口,掃描 函數(shù)掃描,使數(shù)碼管不斷顯示輸入數(shù)據(jù)。RP2RESPACK-8POtMADO PO.1/AD1 P02/AD2 PO.3/AD3 PO.4XAD4 P0.5/AD5 PO 6/AD6P07/AD7P2J0/A8P2.1 /A9P2.2/A10 P2 3/A11 P2 4/A12 P2.5/A13 P2.67A14 P2.7/A15區(qū)D1D2D3D421222324一_2L-LLa5 DD1_7圖5數(shù)碼管顯示電路五、軟件設(shè)計(jì)1主程序流程圖主函數(shù)進(jìn)行鍵盤(pán)掃描,將按鍵值給顯示函數(shù),顯示函數(shù)對(duì)按鍵值進(jìn)行處理。圖6主函數(shù)流程圖2顯示函數(shù)流程圖圖7顯示模塊的程序框圖沈陽(yáng)航空航天大學(xué)綜合課程設(shè)計(jì)六、性能的測(cè)試1、輸入、顯示一位數(shù)字圖9顯示兩位數(shù)字9XTAJL1rerrmJFZJfJS ”為 FZ J.".l FZ.rfAn H卻白O FiWAM- F3JWFSmjc? m A1TT1 PDiTiTTT, 3 VX1 .MT1F J tglKHr 6E圖8顯示一位數(shù)字2、輸入、顯示兩位數(shù)字F.i-.即 01MMi FD.WADI PDAtZ FDJfADJ PD.P* POSAErf PDAAOS PD.T/AD7pziAia 帽吧即 nMtsrnnfRMB”nitt3nzurrrP3LTTC1 *3SH1mmr沈陽(yáng)航空航天大學(xué)綜合課程設(shè)計(jì)193、輸入、顯示三位數(shù)字PQ.-VAibd 口口二例工 FnJ.AJ3 一 .皿,i PDM6C- 口白.*44一4一可器工H12 FZJ9.MIDI 1 P2.a/JA12 P2JW15 F2J.*-M “網(wǎng)i”.JLirrx. fjbIWTE pgi>nm vni+fra PMT1 rjq/nrw r rET圖10 顯示三位數(shù)字4、輸入、顯示四位數(shù)字UI1iKTALIruoMU PD.-UAA1 聲H*”FD.tlAf -PDAiAibCFOufcMi004rz ImsrZJTAID昨EP2JTA-11白HE曰FIJ*AIJFlJiVAI 4P2 TJJH.FHJ3F3lTK-:DP -.1P3L1FTXDH ISFIJIPltfncirjP I.Traj/IFL圖11顯示四位數(shù)字七、結(jié)論鍵盤(pán)輸入0到9的任意數(shù)字,數(shù)碼管顯示;再次輸入任意數(shù)字,前一位數(shù)字向前移位,作為十位數(shù)字,后輸入數(shù)字,作為個(gè)位數(shù)字;按此規(guī)律,可以輸入 任意一位、兩位、三位或四位數(shù)字。八、性價(jià)比本設(shè)計(jì)用簡(jiǎn)單的常用的器件以及易編寫(xiě)的軟件程序?qū)崿F(xiàn)了要求功能。51單片機(jī)價(jià)格便宜甚至實(shí)驗(yàn)室即可提供;共陰數(shù)碼管相較液晶顯示,不盡價(jià)格便宜, 而且簡(jiǎn)單、易實(shí)現(xiàn),4x4鍵盤(pán)既是簡(jiǎn)單的按鍵開(kāi)關(guān);電容、電阻等價(jià)格幾乎不計(jì),所有這些器件實(shí)驗(yàn)室都能提供,成本只有幾十元。性價(jià)比偏高。九、課設(shè)體會(huì)經(jīng)過(guò)一個(gè)月的努力和付出,完成了本次課程設(shè)計(jì),通過(guò)本次課程設(shè)計(jì)提高了 我的設(shè)計(jì)方案和分析問(wèn)題的能力,加深了對(duì)理論知識(shí)的理解,做到了理論知識(shí)與 實(shí)踐的聯(lián)系。學(xué)會(huì)了 Proteus這個(gè)仿真軟件的運(yùn)用,積累進(jìn)行課程設(shè)計(jì)的經(jīng)驗(yàn)。其次,通過(guò)本次課程設(shè)計(jì),大大提高了自己的動(dòng)手能力和設(shè)計(jì)能力,加深對(duì)Proteus軟件功能的理解,學(xué)會(huì)用這個(gè)軟件設(shè)計(jì)三相步進(jìn)電機(jī)控制系統(tǒng),將理論 知識(shí)與實(shí)踐相聯(lián)系,為以后在學(xué)習(xí)和工作的發(fā)展打下一個(gè)良好的基礎(chǔ)。然后,通過(guò)該課程設(shè)計(jì),初步理解了利用計(jì)算機(jī)控制技術(shù)進(jìn)行三相步進(jìn)電機(jī)控制系統(tǒng)的 設(shè)計(jì)。最后,我明白:知識(shí)不是孤立的,相互之間有聯(lián)系的,我們要學(xué)會(huì)理解知識(shí) 點(diǎn)以及學(xué)科之間的融合滲透。本次課程設(shè)計(jì)涉及到了計(jì)算機(jī)技術(shù),自動(dòng)控制技術(shù), 微機(jī)技術(shù),數(shù)字電子技術(shù)等眾多知識(shí),因而我們需要把把各個(gè)學(xué)科之間的知識(shí)融 合起來(lái),形成一個(gè)整體。認(rèn)識(shí)到 Proteus這個(gè)軟件的強(qiáng)大功能,為以后的學(xué)習(xí)和 工作打下基礎(chǔ)。我相信未來(lái)是屬于我們的,隨著科學(xué)技術(shù)的發(fā)展,控制技術(shù)的應(yīng)用將將越來(lái) 越廣泛,發(fā)揮越來(lái)越重要的作用。參考文獻(xiàn)1閻石主編.數(shù)字電子技術(shù).M北京:高等教育出版社,2006年2陳振官等編著.新穎高效聲光報(bào)警器.M北京:國(guó)防工業(yè)出版社,2005年 3王東峰等主編.單片機(jī)C語(yǔ)言應(yīng)用100例.M北京:電子工業(yè)出版社,2009 年4胡耀輝等主編.單片機(jī)系統(tǒng)開(kāi)發(fā)實(shí)例經(jīng)典.M北京:冶金工業(yè)出版社,2006 年5李鴻主編.單片機(jī)原理及應(yīng)用.M湖南:湖南大學(xué)出版社,2005年6譚浩強(qiáng)主編.C語(yǔ)言程序設(shè)計(jì).M北京:清華大學(xué)出版社,2005年附錄I總電路圖本R1-?hLR7lRP2U1 *KiwcaPQ1 慎口 1raADZ仃旬12FOlAMRUK.,口 咯irrFD7i?DTEZWSP2.愉修M前FT,工歡1 LEF工祖12百,工附qrani*田也、 IDP1WWFl 1pj inxoIP 12paP 口PlTITTP3 ftqnF15Fl5P1.7PMTCT1E圖12 總電路圖附錄II元器件清單序號(hào)編號(hào)名稱型號(hào)數(shù)量1U1單片機(jī)AT89C5112C1電容瓷片電容13C2電容瓷片電容14C3電容電角單電容15K開(kāi)關(guān)按鍵開(kāi)關(guān)176U2A四輸入與門(mén)74LS2117RP2排阻Respack-818P數(shù)碼管7SEG19VCC電源5V直流源210GROUND地接地111附錄田源程序#include<reg51.h>#define uint unsigned int#define uchar unsigned char sbit P17=P1A7;sbit P16=PW6;sbit P15=P1A5;sbit P14=PW4;uint count=0,num=0,k;uchartable=0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7G 0x39,0x5e,0x79,0x71;/*延時(shí)子程序 *void delay(uint i)uint j;for(;i>0;i-)for(j=0;j<125;j+);/*矩陣鍵盤(pán)掃子程序 *uint keyscan()uchar temp,keynum;P1=0xff;P17=0;/*掃第一彳亍 *temp=P1;temp&=0x0f;if(temp!=0x0f)delay(10);temp=P1;temp&=0x0f; if(temp!=0x0f) temp=P1;temp&=0x0f;switch(temp)case 0x0e:keynum=0;break;case 0x0d:keynum=1;break;case 0x0b:keynum=2;break;case 0x07:keynum=3;break;while(temp!=0x0f)temp=P1;temp&=0x0f;P1=0xff;P16=0;*掃第二彳亍 *temp=P1;temp&=0x0f;if(temp!=0x0f)delay(10);temp=P1;temp&=0x0f;if(temp!=0x0f)temp=P1;temp&=0x0f;switch(temp)case 0x0e:keynum=4;break;case 0x0d:keynum=5;break;case 0x0b:keynum=6;break; case 0x07:keynum=7;break;while(temp!=0x0f) temp=P1;temp&=0x0f; P1=0xff;P15=0;/*掃第三彳亍 *temp=P1;temp&=0x0f;if(temp!=0x0f)delay(10);temp=P1;temp&=0x0f;if(temp!=0x0f)temp=P1;temp&=0x0f;switch(temp)case 0x0e:keynum=8;break;case 0x0d:keynum=9;break;case 0x0b:keynum=10;break;case 0x07:keynum=11;break;while(temp!=0x0f)temp=P1;temp&=0x0f;P1=0xff;P14=0;/*掃描第四行 *temp=P1;temp&=0x0f; if(temp!=0x0f) delay(10);temp=P1;temp&=0x0f;if(temp!=0x0f)temp=P1;temp&=0x0f;switch(temp)case 0x0e:keynum=12;break;case 0x0d:keynum=13;break;case 0x0b:keynum=14;break;case 0x07:keynum=15;break;while(temp!=0x0f)temp=P1;temp&=0x0f;return keynum;/* 顯示子程序 *void Display(uint num)uint ge,shi,bai,qian;qian=num/1000;P0=tableqian;P2=0xfe;delay(10);P2=0xff; bai=num%1000/100;P0=tablebai;P2=0xfd;delay(10);P2=0xff; shi=num%100/10;P0=tableshi;P2=0xfb;delay(10);P2=0xff; ge=num%10;P0=tablege;P2=0x億 delay(10);P2=0xff;void Init(void)EA=1;/*開(kāi)總中斷EX1=1;/*IT1=0;/*開(kāi)外部中斷0設(shè)置為電平觸發(fā)方式主程序 *void main(void)uint i,count1;Init();while(1)調(diào)用掃鍵子程序 count=keyscan(); / Display(num);*END*中斷子程序 *void Init1() interrupt 2 count=keyscan();num=num*10+count;if(num>9999)num=num%10;/*

注意事項(xiàng)

本文(乘4矩陣鍵盤(pán)輸入數(shù)碼管顯示四位數(shù))為本站會(huì)員(緣***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!