歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

北京郵電大學(xué)計(jì)算機(jī)學(xué)院數(shù)字邏輯與數(shù)字系統(tǒng).ppt

  • 資源ID:5367485       資源大?。?span id="5oekgc5" class="font-tahoma">8.45MB        全文頁(yè)數(shù):92頁(yè)
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

北京郵電大學(xué)計(jì)算機(jī)學(xué)院數(shù)字邏輯與數(shù)字系統(tǒng).ppt

第2章 第2章 第一節(jié)組合邏輯分析第二節(jié)組合邏輯設(shè)計(jì)第三節(jié)組合邏輯電路的等價(jià)變換第四節(jié)數(shù)據(jù)選擇器與分配器第五節(jié)譯碼器和編碼器第六節(jié)數(shù)據(jù)比較器和加法器第七節(jié)奇偶校驗(yàn)器 組合邏輯 組合邏輯分析 第一節(jié)組合邏輯分析 電路任意時(shí)刻的輸出狀態(tài)只取決于該時(shí)刻的輸入狀態(tài) 而與該時(shí)刻前的電路輸入狀態(tài)無(wú)關(guān) 如何確定組合邏輯完成的邏輯功能 組合邏輯不含有記憶器件 邏輯表達(dá)式 真值表 最簡(jiǎn)式 描述功能 組合電路 組合邏輯分析例題1 分析如下電路 列寫布爾表達(dá)式法 組合邏輯分析例題2 分析如下電路 當(dāng)ABC為何值時(shí)F 1 代數(shù)化簡(jiǎn) F 組合邏輯分析例題2 卡諾圖化簡(jiǎn) 當(dāng)ABC取值為1 2 3 4 5時(shí)輸出F 1 列寫真值表法 復(fù)習(xí) 寫出下列電路所對(duì)應(yīng)的邏輯函數(shù) 組合邏輯分析例題3 分析如下電路的邏輯功能 A B 功能 一位比較器 Z1表示AB 0100 1001 0010 組合邏輯分析例題4 10205299 P50例3 3 分析如下電路的功能 列出真值表 四選一選擇器 組合邏輯分析例題4 10205299 P50例3 3 分析如下電路的功能 列出真值表 四選一選擇器 組合邏輯分析例題5 分析如下電路的功能 檢測(cè)1的個(gè)數(shù)的奇偶判別電路 組合邏輯分析例題6 密碼鎖電路 只有按照規(guī)定的順序打開或閉合A B C D才能使電磁鐵得電開鎖 否則報(bào)警器就會(huì)報(bào)警 試分析開鎖方法 技能實(shí)訓(xùn) P76 A B C 1 D 0 組合邏輯設(shè)計(jì) 第二節(jié)組合邏輯設(shè)計(jì) 真值表 卡諾圖 表達(dá)式 簡(jiǎn)化的表達(dá)式 設(shè)計(jì)要求 邏輯圖 消除冒險(xiǎn) 代數(shù)法化簡(jiǎn) 圖形法化簡(jiǎn) 一個(gè)邏輯函數(shù)可以用不同形式的邏輯電路來(lái)實(shí)現(xiàn) 器件數(shù)最少 器件品種最少 器件間的連線最少 不同電路實(shí)現(xiàn) 與非 電路 或與 電路 或非 電路 與或非 電路 異或 電路 不同電路實(shí)現(xiàn) 與非門 非或門 或非門 非與門 與非 門實(shí)現(xiàn) 實(shí)現(xiàn)與門 實(shí)現(xiàn)非門 實(shí)現(xiàn)或門 與非 門實(shí)現(xiàn) 與非 門實(shí)現(xiàn) 方法 對(duì)F兩次求反 F AB BC AC 當(dāng)反函數(shù)較簡(jiǎn)單時(shí)用 或非 門實(shí)現(xiàn) 或非 門實(shí)現(xiàn) 方法 對(duì)F兩次求對(duì)偶 求對(duì)偶并化簡(jiǎn)為最簡(jiǎn) 與或 表達(dá)式 對(duì)F 求 與非 與非 表達(dá)式 對(duì)F 再求對(duì)偶 與或非 門實(shí)現(xiàn) 與或非 門實(shí)現(xiàn) 方法 0 0 0 74LS08與門tpLH 4 5tpHL 5 74LS02或非門tpLH 10tpHL 10 74LS50與或非門tpLH 6 8tpHL 6 2 P42例12 或非門具有較短的延遲時(shí)間 組合邏輯設(shè)計(jì)例題1 設(shè)計(jì)一個(gè)燃油鍋爐自動(dòng)報(bào)警器 要求燃油噴嘴在開啟狀態(tài)下 如鍋爐水溫或壓力過(guò)高發(fā)出報(bào)警信號(hào) 用與非門實(shí)現(xiàn) 解 1 進(jìn)行邏輯規(guī)定 噴嘴開關(guān) 鍋爐水溫 壓力分別用A B C表示 報(bào)警信號(hào)作為輸出變量 用F表示 噴嘴開 1 關(guān) 0 鍋爐水溫 壓力高 1 低 0 報(bào)警 1 正常 0 2 列真值表 0 0 0 0 0 1 1 1 3 化簡(jiǎn) 組合邏輯設(shè)計(jì)例題2 設(shè)計(jì)一個(gè)半加器 半加器是能實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)相加 并且能向高位進(jìn)位的邏輯電路 與非 門實(shí)現(xiàn) 輸入只有原變量無(wú)反變量 組合邏輯設(shè)計(jì)例題2 從表達(dá)式中消掉非變量 組合邏輯設(shè)計(jì)例題3 某工廠有A B C三個(gè)車間 各需電力10kw 由廠變電所的X Y兩臺(tái)變壓器供電 其中X變壓器的功率為13kw Y變壓器的功率為25kw 為合理供電 需設(shè)計(jì)一個(gè)送電控制電路 控制電路的輸出接繼電器線圈 送電時(shí)線圈通電 不送電時(shí)線圈不通電 線圈動(dòng)作電壓12V 用與非門和異或門 設(shè) 車間工作用1表示 不工作用0表示 送電用1表示 不送電用0表示 0 1 1 0 1 0 0 1 0 0 0 1 0 1 1 1 組合邏輯設(shè)計(jì)例題3 1 1 1 1 1 1 1 1 組合邏輯設(shè)計(jì)例題3 所需集成電路芯片 74LS00 74LS10 74LS86 四2輸入與非門 三3輸入與非門 四2輸入異或門 74LS00 74LS86 74LS10 是否可以減少芯片的種類呢 組合邏輯設(shè)計(jì)例題3 1 1 1 1 去掉74LS10三輸入與非門芯片 74LS00 74LS86 組合邏輯設(shè)計(jì)例題4 某組合邏輯電路的輸入A B C和輸出F的波形如圖所示 列出該電路的真值表 寫出邏輯函數(shù)表達(dá)式 并用最少的與非門實(shí)現(xiàn) 0 1 0 0 0 1 1 1 AB 00A型CD 00A型01B型01B型10AB型10AB型11O型11O型 設(shè)計(jì)一個(gè)血型配比指示器 解 用AB表示供血者代碼 CD表示受血者代碼 代碼設(shè)定如下 P57習(xí)題18 G 0 2 5 6 10 12 13 14 15 G表示綠燈 R表示紅燈 特殊問(wèn)題的邏輯設(shè)計(jì) 原則 利用任意項(xiàng)來(lái)簡(jiǎn)化邏輯表達(dá)式 實(shí)現(xiàn)最佳設(shè)計(jì) 任意項(xiàng) 輸入變量的某些取值組合根本不存在 如存在也不影響輸出的最小項(xiàng) 設(shè)輸入既有原變量又有反變量 用或非門設(shè)計(jì)實(shí)現(xiàn)下面函數(shù)的組合電路 無(wú)關(guān)項(xiàng)例題 一熱水器如圖所示 圖中虛線表示水位 A B C電極被水浸沒(méi)時(shí)有信號(hào)輸出 水面在A B間時(shí)為正常狀態(tài) 綠燈G亮 水面在B C間或A以上時(shí)為異常狀態(tài) 黃燈Y亮 水面在C以下時(shí)為危險(xiǎn)狀態(tài) 紅燈R亮 試設(shè)計(jì)實(shí)現(xiàn)該邏輯功能的電路 解 1 邏輯規(guī)定 1 0 0 0 0 1 0 1 電極被水浸沒(méi) 1 燈亮 1 2 真值表 3 化簡(jiǎn) R卡諾圖 Y卡諾圖 0 0 1 0 1 G卡諾圖 無(wú)關(guān)項(xiàng)例題 1 交作業(yè)2 本周作業(yè)P5710 13 16 17 18 19 作業(yè) 查閱74LS253和74LS42的數(shù)據(jù)手冊(cè) 寫出功能表 提問(wèn) 問(wèn)題 1 組合邏輯電路的設(shè)計(jì)步驟是什么 2 如何理解設(shè)計(jì)過(guò)程中的最簡(jiǎn) 6 密碼鎖思考題的答案 3 與或 表達(dá)式變?yōu)?與非 與非 及 與或 表達(dá)式變?yōu)?或非 或非 表達(dá)式的方法 4 設(shè)計(jì)二位乘二位二進(jìn)制乘法器 5 無(wú)關(guān)項(xiàng)參與設(shè)計(jì)的目的是什么 BC先閉合 B C A 1 D 0時(shí)G 0 H 0 競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象 由于競(jìng)爭(zhēng)而引起電路輸出發(fā)生瞬間錯(cuò)誤的現(xiàn)象 在組合電路中 信號(hào)經(jīng)由不同的途徑達(dá)到某一匯合點(diǎn)的時(shí)間有先有后的現(xiàn)象 輸出端出現(xiàn)原設(shè)計(jì)中沒(méi)有的窄脈沖 常稱其為毛刺 理論上恒為1 競(jìng)爭(zhēng)冒險(xiǎn)判斷 代數(shù)法 兩個(gè)互補(bǔ)輸入信號(hào)同時(shí)向相反狀態(tài)變化的情況下 競(jìng)爭(zhēng)冒險(xiǎn) 10211491 競(jìng)爭(zhēng)冒險(xiǎn)判斷 卡諾圖法 函數(shù)卡諾圖上為簡(jiǎn)化作的圈相切 且相切處又無(wú)其他圈包含 A C具有競(jìng)爭(zhēng)力 C具有險(xiǎn)象 B C具有競(jìng)爭(zhēng)力 B C具有險(xiǎn)象 競(jìng)爭(zhēng)冒險(xiǎn)消除 a 修改邏輯設(shè)計(jì)消除邏輯冒險(xiǎn) b 加選通脈沖消除邏輯冒險(xiǎn) 冒險(xiǎn)出現(xiàn)在什么時(shí)侯 冒險(xiǎn)出現(xiàn)在變量發(fā)生變化的時(shí)刻 選通脈沖 c 吸收法消除邏輯冒險(xiǎn) 輸出加電容C消除毛刺 利用多余項(xiàng)的方法 數(shù)據(jù)選擇器 多路開關(guān)或多路轉(zhuǎn)換器 數(shù)據(jù)選擇器 K 雙四選一多路選擇器 第五節(jié)數(shù)據(jù)選擇器與分配器 數(shù)據(jù)選擇器 數(shù)據(jù)選擇器74LS153內(nèi)部結(jié)構(gòu) WEB演示 數(shù)據(jù)選擇器 數(shù)據(jù)選擇器 八選一74LS151 P44圖2 20波形圖 數(shù)據(jù)選擇器 用四選一選擇器實(shí)現(xiàn)函數(shù) 10211491 數(shù)據(jù)選擇器應(yīng)用 邏輯函數(shù)對(duì)照法 展開 合并 對(duì)照 函數(shù)發(fā)生器 數(shù)據(jù)選擇器應(yīng)用 展開 合并 對(duì)照 用八選一數(shù)據(jù)選擇器實(shí)現(xiàn) 數(shù)據(jù)選擇器應(yīng)用例題 寫出如圖所示8選1數(shù)據(jù)選擇器實(shí)現(xiàn)的邏輯函數(shù)的最小項(xiàng)表達(dá)式 數(shù)據(jù)選擇器的級(jí)聯(lián) 用一片74LS153如何連接實(shí)現(xiàn)八選一 數(shù)據(jù)選擇器的例題 EWB演示 74LS253構(gòu)成分時(shí)多路轉(zhuǎn)換 用4路選擇器74LS253構(gòu)成分時(shí)多路并 串轉(zhuǎn)換電路 數(shù)據(jù)選擇器應(yīng)用 P5710題 思考 用8選1數(shù)據(jù)選擇器實(shí)現(xiàn) 提問(wèn) 3 用一片數(shù)據(jù)選擇器74LS151 8選1 設(shè)計(jì)一個(gè)邏輯電路 輸入為4位二進(jìn)制數(shù) 當(dāng)輸入數(shù)據(jù)能被2或5整除時(shí)輸出為1 否則輸出為0 問(wèn)題 學(xué)習(xí)輔導(dǎo)及習(xí)題詳解 11371812 P95 2 用多路數(shù)據(jù)選擇器可以方便的實(shí)現(xiàn) 輸出邏輯函數(shù) 單 提問(wèn) 4 電路中的輸入信號(hào)DCBA是8421BCD碼 試分析輸出L實(shí)現(xiàn)的邏輯功能 W 1 1 1 1 1 0 0 0 0 0 0000011111 功能 四舍五入電路 提問(wèn) 5 用兩種方法實(shí)現(xiàn)函數(shù) 方法1 邏輯門實(shí)現(xiàn) 方法2 數(shù)據(jù)選擇器實(shí)現(xiàn) 化簡(jiǎn) 用4選1數(shù)據(jù)選擇器 數(shù)據(jù)分配器 數(shù)據(jù)分配器 WEB演示74LS155數(shù)據(jù)傳輸 譯碼器 常用的譯碼電路 二進(jìn)制譯碼器 二 十譯碼器 數(shù)字顯示譯碼器 2 4譯碼器 3 8譯碼器 4 16譯碼器 74LS139 74LS154 74LS138 使能端的作用 使得ABC輸入端穩(wěn)定后再送到輸出端 用于邏輯功能擴(kuò)展 第六節(jié)譯碼器與編碼器 多輸入多輸出的組合邏輯部件 對(duì)具有特定含義的輸入代碼進(jìn)行 翻譯 將其轉(zhuǎn)換成相應(yīng)的輸出信號(hào) 譯碼器功能表 特點(diǎn) 譯碼器的輸出均對(duì)應(yīng)一個(gè)輸入最小項(xiàng)的非 74LS138譯碼器功能表 138譯碼器例題 通過(guò)74LS138選擇外圍設(shè)備 寫出每個(gè)設(shè)備的單字節(jié)地址 11 E1H E5H A7A6A5A4A3A2A1A0 11100101 1 0 0 001 138譯碼器例題 設(shè)X Z均為三位二進(jìn)制數(shù) X為輸入Z為輸出 要求二者之間有下述關(guān)系 當(dāng)3 X 6時(shí) Z X 1 當(dāng)X 3時(shí) Z 0 當(dāng)X 6時(shí) Z 3 用138構(gòu)成此邏輯 解 列真值表 138譯碼器的選擇輸入端CBA對(duì)應(yīng)X2X1X0 138譯碼器的輸出Y0 Y7與Z2Z1Z0的對(duì)應(yīng)關(guān)系 138譯碼器例題 P5713題 解題指南 P2815題 題解指南 P2916題 138譯碼器 X3 01 工作 2 不工作 X3 11 不工作 2 工作 用兩片138組成4 16譯碼器 數(shù)字顯示譯碼器 74LS42 二 十進(jìn)制七段碼顯示 abcdefg abcdg bcfg A3A2A1A0 1001輸出高電平有哪幾段 查資料 共陰極 EWB演示 74LS42 74LS48 74LS48數(shù)據(jù)手冊(cè) 74LS48功能表 EWB演示 74LS48 試燈信號(hào)輸入 雙重功能端子 滅零信號(hào)輸入 作用 不顯示小數(shù)點(diǎn)前的零 0七段全亮 為輸出端子的條件 編碼器 將某種代碼或電位信號(hào)轉(zhuǎn)換成二進(jìn)制碼輸出的電路 問(wèn)題 同時(shí)為低編碼混亂 注意 此電路任意時(shí)刻只允許一個(gè)輸入信號(hào)為有效信號(hào) 輸出對(duì)這個(gè)輸入信號(hào)編碼 I6 110 普通編碼器電路 74LS148真值表 具有優(yōu)先級(jí)的編碼器 P49真值表 實(shí)質(zhì) 允許多個(gè)有效信號(hào)輸入 輸出只對(duì)優(yōu)先級(jí)別高的信號(hào)編碼 74LS148 輸出為3位二進(jìn)制反碼 1 0 輸入輸出信號(hào)0有效 0 1 1 EWB演示 編碼器 000 001 010 011 100 101 110 111 作業(yè) 1 設(shè)計(jì)一個(gè)多功能電路 功能表見(jiàn)表1 分別采用邏輯門實(shí)現(xiàn) 8選1數(shù)據(jù)選擇器實(shí)現(xiàn) 4 16譯碼器實(shí)現(xiàn) 表1 并采用三種方法實(shí)現(xiàn) 3 用并行加法器74LS283設(shè)計(jì)一個(gè)四位二進(jìn)制減法器 提示 負(fù)數(shù)用補(bǔ)碼表示 4 P981 2 提問(wèn) d 2 用譯碼器實(shí)現(xiàn)函數(shù) 問(wèn)題 3 密碼鎖思考題的答案 BC先閉合 B C A 1 D 0時(shí)G 0 H 0 74LS148 第一片有輸入信號(hào)時(shí) A15 A8至少有一個(gè)為0 要求輸出代碼是0000 0111中的一個(gè) 在第一片無(wú)輸入信號(hào)而第二片工作時(shí) 要求輸出代碼是l000 1111中的一個(gè) 兩片74LS148組成16位編碼器 16位編碼器 WEB 74LS147演示P49例15 P48圖2 27是原碼輸出 數(shù)據(jù)比較器 實(shí)際值A(chǔ) 恒定B值 降溫 實(shí)際值A(chǔ) 恒定B值 保持 實(shí)際值A(chǔ) 恒定B值 升溫 74LS85四位比較器 P51表2 11 級(jí)聯(lián)輸入端用于擴(kuò)展 比較思路 從高位到低位逐級(jí)比 高位相等需比較低位確定兩數(shù)大小 第七節(jié)數(shù)據(jù)比較器與加法器 數(shù)據(jù)比較器真值表 4位比較器74LS85功能表 74LS85 兩片74LS85構(gòu)成8位數(shù)據(jù)比較器 74LS85舉例 試用數(shù)值比較器構(gòu)成用8421BCD碼表示的一位十進(jìn)制數(shù)的四舍五入電路 解 設(shè)8421BCD的輸入為A3A2AlA0 當(dāng)其小于或等于4 即0l00 時(shí)電路輸出F為0 否則輸出F為1 加法器 實(shí)現(xiàn)兩個(gè)一位二講制數(shù)相加的同時(shí) 再加上低位來(lái)的進(jìn)位的邏輯電路 兩個(gè)一位二進(jìn)制數(shù)相加 并且能向高位進(jìn)位的邏輯電路 0 1 1 0 1 0 0 1 0 0 0 1 0 1 1 1 串行加法器 多個(gè)全加器FA串行連接 A 3948H B C769H A 0011100101001000B B 1100011101101001B 問(wèn)題 最終和的輸出要等待進(jìn)位的逐級(jí)上傳 10110001 100000000 P5712題 多個(gè)全加器FA串行連接 與門延遲時(shí)間 15ns 或門延遲時(shí)間 12ns 異或門延遲時(shí)間 13ns 一級(jí)的進(jìn)位時(shí)間 12 15 13 40 ns 化簡(jiǎn) FA 非門延遲時(shí)間 15ns 與或非門延遲時(shí)間 6ns 異或門延遲時(shí)間 20ns 16位數(shù)據(jù)求和的時(shí)間 15 6 15 20 335 ns 題 給定三種門 計(jì)算16位串行進(jìn)位加法器的最長(zhǎng)進(jìn)位時(shí)間 16位數(shù)據(jù)進(jìn)位的時(shí)間 16 6 15 336 ns 一級(jí)的進(jìn)位時(shí)間 6 15 21 ns 74LS283 74LS283 即將字長(zhǎng)n位分為若干組 組內(nèi)采用超前進(jìn)位 組間采用串行進(jìn)位 試用四位加法器實(shí)現(xiàn)8421BCD碼至余3BCD碼的轉(zhuǎn)換 查閱數(shù)據(jù)手冊(cè) 74LS283例題 試用四位全加器74283實(shí)現(xiàn)一位BCD加法器 解題關(guān)鍵 進(jìn)行修正 運(yùn)算結(jié)果 9 不修正 結(jié)果 0 運(yùn)算結(jié)果 9 結(jié)果 6 修正電路是一個(gè)判9電路 當(dāng)和數(shù)大于9時(shí) 8421BCD碼產(chǎn)生進(jìn)位 而四位二進(jìn)制數(shù)不產(chǎn)生進(jìn)位 0111 0101 1100 12 10 0110 10010 74LS283例題 試用四位全加器74283實(shí)現(xiàn)一位BCD加法器 解題關(guān)鍵 進(jìn)行修正 運(yùn)算結(jié)果 9 不修正 結(jié)果 0 運(yùn)算結(jié)果 9 結(jié)果 6 修正電路是一個(gè)判9電路 運(yùn)算結(jié)果 9的最小項(xiàng) 修正函數(shù) 四位二進(jìn)制運(yùn)算結(jié)果產(chǎn)生進(jìn)位也一定是 9 當(dāng)和數(shù)大于9時(shí) 8421BCD碼產(chǎn)生進(jìn)位 而四位二進(jìn)制數(shù)不產(chǎn)生進(jìn)位 例如 8 9 17 74LS283例題 結(jié)果 9加0110 結(jié)果 9加0000 演示W(wǎng)EB BCD加法 奇偶校驗(yàn)器 全部輸入 1 的個(gè)數(shù)為偶時(shí) 1 全部輸入 1 的個(gè)數(shù)為奇時(shí) 1 奇校驗(yàn) 加上校驗(yàn)位使得1的個(gè)數(shù)為奇 偶校驗(yàn) 加上校驗(yàn)位使得1的個(gè)數(shù)為偶 奇偶校驗(yàn)只能發(fā)現(xiàn)一位錯(cuò) 101011100 0 1010011100 10101010 11101010 1 1 1010011000 奇校驗(yàn) 偶 奇校驗(yàn) 74LS280 數(shù)字通信中 二進(jìn)制信息傳輸可能出現(xiàn)錯(cuò)誤 檢測(cè)錯(cuò)誤的一種簡(jiǎn)單方法 奇偶校驗(yàn)碼 發(fā)生錯(cuò)誤 第八節(jié)奇偶校驗(yàn)器 查閱數(shù)據(jù)手冊(cè) 奇偶校驗(yàn)器 發(fā)送端FEV 0 0正確 1錯(cuò)誤 偶 1 奇 奇 0 奇 發(fā)送端 接收端 發(fā)送97H 10010111 發(fā)送側(cè)I端接地 發(fā)送側(cè)的FEV與接收側(cè)的I端連接 在接收端只觀測(cè)FEV 當(dāng)FEV 0時(shí)接收數(shù)據(jù)正確 發(fā)送55H 01010101 I 0 發(fā)送端FEV 1 EWB演示 奇偶校驗(yàn) 例 利用74LS280發(fā)送 接收數(shù)據(jù) 第2章掌握內(nèi)容 1 組合邏輯電路定義 2 組合邏輯電路的分析步驟 3 組合邏輯電路的設(shè)計(jì)步驟 5 競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象的定義 6 競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象的判斷與消除 7 數(shù)據(jù)選擇與分配器 8 譯碼器與編碼器 9 數(shù)據(jù)比較器 10 半加器 全加器 11 奇偶校驗(yàn)器 4 組合邏輯電路設(shè)計(jì)的優(yōu)化 與門延遲時(shí)間 15ns 或門延遲時(shí)間 12ns 異或門延遲時(shí)間 13ns 一級(jí)的進(jìn)位時(shí)間 12 15 27 ns 16位數(shù)據(jù)求和的時(shí)間 15 27 13 418 ns 前一個(gè)電路16位數(shù)據(jù)求和的時(shí)間 15 40 13 613 ns 先行進(jìn)位加法器 當(dāng)AiBi 1 Gi 1 Ci 1 本位進(jìn)位 傳遞進(jìn)位 當(dāng)Ai Bi Pi 1 Ci 1將低位向本位的進(jìn)位傳送到高位 結(jié)論 最低位的進(jìn)位可以超前送到最高位及各位上 問(wèn)題 進(jìn)位傳遞時(shí)間的節(jié)省是以邏輯電路的復(fù)雜為代價(jià)的 位數(shù)的增加 門的扇入和扇出也會(huì)增大 分析進(jìn)位 提問(wèn) 全減器 1 試用一片74LS138和兩個(gè)四輸入與非門組成一個(gè)全減器 0 1 1 0 1 0 0 1 0 1 1 1 0 0 0 1 低位借位 解 1 列真值表 2 列寫本位差和借位邏輯表達(dá)式 3 與138的輸出函數(shù)比較 問(wèn)題 全減器 思考 用74LS138設(shè)計(jì)全加器 數(shù)字電子技術(shù)基礎(chǔ)解題指南 P129例3 20 多輸出例題 設(shè)A B C為保密鎖的三個(gè)按鍵 當(dāng)A單獨(dú)按下時(shí)鎖既不打開也不報(bào)警 只有當(dāng)A B C或者A B或者A C分別同時(shí)按下時(shí) 鎖才能被打開 當(dāng)不符合上述組合狀態(tài)時(shí) 將發(fā)出報(bào)警信息 試用與非門設(shè)計(jì)此電路 解 1 邏輯規(guī)定 0 0 0 0 0 1 1 1 0 1 1 1 0 0 0 0 鍵按下 1 鎖開 F 1 報(bào)警 G 1 2 真值表 3 化簡(jiǎn) F卡諾圖 G卡諾圖 沒(méi)有公共項(xiàng) 組合邏輯分析測(cè)驗(yàn) 分析如下電路 當(dāng)ABC為何值時(shí)F 1 測(cè)驗(yàn) 參見(jiàn) 習(xí)題 P2710題 測(cè)驗(yàn) 138譯碼器的CBA輸入對(duì)應(yīng)邏輯函數(shù)中的ABC 138譯碼器的輸出Y0 Y7與F1F0的對(duì)應(yīng)關(guān)系 特點(diǎn) 譯碼器的輸出均對(duì)應(yīng)一個(gè)最小項(xiàng)的非 提問(wèn) 2 學(xué)習(xí)輔導(dǎo)及習(xí)題詳解 P97例3 3 16 問(wèn)題 3 學(xué)習(xí)輔導(dǎo)及習(xí)題詳解 P100例3 3 19 提問(wèn) 問(wèn)題 1 組合邏輯電路的設(shè)計(jì)步驟是什么 2 如何理解設(shè)計(jì)過(guò)程中的最簡(jiǎn) 6 密碼鎖思考題的答案 3 與或 表達(dá)式變?yōu)?與非 與非 及 與或 表達(dá)式變?yōu)?或非 或非 表達(dá)式的方法 4 設(shè)計(jì)二位乘二位二進(jìn)制乘法器 5 指出圖中四根線哪些為輸入哪些為輸出 A B C 1 D 0時(shí)G 0 H 0

注意事項(xiàng)

本文(北京郵電大學(xué)計(jì)算機(jī)學(xué)院數(shù)字邏輯與數(shù)字系統(tǒng).ppt)為本站會(huì)員(xt****7)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!