歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

畢業(yè)設(shè)計(jì)任務(wù)書(shū) 實(shí)習(xí)報(bào)告 大學(xué)生畢業(yè)實(shí)習(xí)報(bào)告

  • 資源ID:74656665       資源大?。?span id="ysf0bbs" class="font-tahoma">4.05MB        全文頁(yè)數(shù):162頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

畢業(yè)設(shè)計(jì)任務(wù)書(shū) 實(shí)習(xí)報(bào)告 大學(xué)生畢業(yè)實(shí)習(xí)報(bào)告

聊城職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)任務(wù)書(shū)系 別 計(jì)算機(jī)系 班 級(jí) 06電子信息 姓 名 劉麗娜 學(xué) 號(hào) 20061206017 畢業(yè)設(shè)計(jì)寫(xiě)作指導(dǎo)須知一、 畢業(yè)設(shè)計(jì)選題要符合專(zhuān)業(yè)培養(yǎng)目標(biāo)的要求。論文(任務(wù)書(shū))寫(xiě)作要做到論點(diǎn)明確、論據(jù)充分,說(shuō)明透徹,語(yǔ)言準(zhǔn)確恰當(dāng),書(shū)面整潔、字跡工整,圖紙應(yīng)清晰、工整,符合設(shè)計(jì)要求,符合國(guó)家有關(guān)標(biāo)準(zhǔn)和部分標(biāo)準(zhǔn)。字?jǐn)?shù)、圖紙數(shù)量符合學(xué)院的有關(guān)要求。并在規(guī)定的時(shí)間內(nèi)完成。二、 答辯過(guò)程中學(xué)生要嚴(yán)肅認(rèn)真,文明禮貌,謙虛謹(jǐn)慎,認(rèn)真回答答辯主持人、委員等提出的問(wèn)題。三、 填報(bào)有關(guān)表格時(shí),應(yīng)按項(xiàng)目要求逐項(xiàng)填實(shí)、填全、填清。學(xué)號(hào)20061206017姓名劉麗娜學(xué) 制三年專(zhuān)業(yè)電子信息工程技術(shù)年級(jí)06電子信息教學(xué)班負(fù)責(zé)人楊清虎地市山東省聊城市聊城職業(yè)技術(shù)學(xué)院教學(xué)班06電子信息設(shè)計(jì)題目單片機(jī)多功能電子時(shí)鐘指導(dǎo)教師姓名楊清虎職務(wù)或職稱助教一、 畢業(yè)設(shè)計(jì)的任務(wù)和具體要求:在智能化儀器儀表中,控制核心均為微處理器,而單片機(jī)以其高性能、高速度、體積小、價(jià)格低廉、穩(wěn)定可靠而得到廣泛應(yīng)用,是設(shè)計(jì)智能化儀器儀表的首選微控制器,單片機(jī)結(jié)合簡(jiǎn)單的接口電路即可構(gòu)成電子鐘,它可廣泛應(yīng)用于工業(yè)、農(nóng)業(yè)、日常生活等領(lǐng)域,與傳統(tǒng)鐘表相比較,它具有高精度、高可靠性、操作方便、價(jià)格便宜、智能化等特點(diǎn),是鐘表的一個(gè)發(fā)展方向,具有一定的實(shí)用價(jià)值。1.本課題任務(wù)如下:設(shè)計(jì)一個(gè)具有特定功能的電子鐘,系統(tǒng)以AT89S5單片機(jī)為控制核心,結(jié)合外部電路完成電子鐘的功能。該電子鐘上電或按鍵復(fù)位后能自動(dòng)顯示系統(tǒng)提示符“00:00:00”, 進(jìn)入時(shí)鐘準(zhǔn)備狀態(tài);按下電子鐘啟動(dòng)鍵,電子鐘從0時(shí)0分0秒開(kāi)始運(yùn)行,進(jìn)入時(shí)鐘運(yùn)行狀態(tài);按下電子鐘調(diào)整鍵,則電子鐘進(jìn)入時(shí)鐘調(diào)整狀態(tài),此時(shí)可利用各調(diào)整鍵調(diào)整時(shí)間,調(diào)整結(jié)束后可按啟動(dòng)鍵再次進(jìn)入時(shí)鐘運(yùn)行狀態(tài)2、本課題要求如下:(1)在AT89S5的P1口和P2口外接由六個(gè)LED數(shù)碼管(LED5LED0)構(gòu)成的顯示器,用P1口作LED的段碼輸出口(P1.0P1.6對(duì)應(yīng)于LED的ag),P2.5P2.0作LED的位控輸出線(P2.5P2.0對(duì)應(yīng)于LED5LED0),P3口外接四個(gè)按鍵A、B、C、D(對(duì)應(yīng)于P3.2P3.5)。(2)、利用六個(gè)LED顯示當(dāng)前時(shí)間。(3)、四個(gè)按鍵的功能:D鍵用于電子鐘啟動(dòng);A鍵用于調(diào)時(shí),范圍0-23,0為24點(diǎn),每按一次時(shí)加1;B鍵用于調(diào)分,范圍0-59,0 為60分,每按一次分加1;C鍵用于調(diào)秒, 范圍0-59,0為60秒,每按一次秒加1。(4)、單片機(jī)采用AT89S52,fosc=12MHZ。(5)、電子鐘供電電源電路的設(shè)計(jì)。(6)、電子鐘時(shí)鐘電路,復(fù)位電路的設(shè)計(jì)。(7)、編寫(xiě)系統(tǒng)監(jiān)控程序、鍵掃子程序、顯示子程序及其它所需子程序、功能程序和中斷服務(wù)程序。(8)、計(jì)算機(jī)輸出課程設(shè)計(jì)說(shuō)明書(shū)一份。 (9)、設(shè)計(jì)時(shí)間:二周(10)、制作電子鐘實(shí)物。二、 畢業(yè)設(shè)計(jì)說(shuō)明書(shū)應(yīng)包含的內(nèi)容:1、課程設(shè)計(jì)說(shuō)明書(shū)封面;2、課程設(shè)計(jì)任務(wù)書(shū)封面及課程設(shè)計(jì)任務(wù)書(shū);3、前言;4、課程設(shè)計(jì)說(shuō)明書(shū)目錄;5、電子鐘功能說(shuō)明及總體方案介紹;6、詳細(xì)介紹電子鐘的工作原理;7、繪制電子鐘整機(jī)電路框圖、整機(jī)電路原理圖、電源電路原理圖及PCB圖各1份;8、列出電子鐘元器件清單;9、詳細(xì)介紹電子鐘單元電路工作原理(包括電源電路、時(shí)鐘電路、復(fù)位電路、鍵盤(pán)/顯示接口電路及所用主要芯片);10、單片機(jī)硬件資源的使用分配情況;11、畫(huà)出電子鐘軟件系統(tǒng)監(jiān)控程序、各子程序、中斷服務(wù)程序及各功能程序的流程框圖;12、列出電子鐘軟件系統(tǒng)程序清單;13、寫(xiě)出電子鐘的使用說(shuō)明;14、設(shè)計(jì)體會(huì),談?wù)劚驹O(shè)計(jì)的重點(diǎn)、難點(diǎn)及精妙之處,是否存在不足之點(diǎn)及改進(jìn)意見(jiàn);15、提出單片機(jī)技術(shù)課程教學(xué)建議;16、參考資料。三、 畢業(yè)設(shè)計(jì)應(yīng)完成的圖紙:四、其他要求:無(wú)五、畢業(yè)設(shè)計(jì)的期限: 自 1 月 1 日至 2009 年 2 月 1 日六、畢業(yè)設(shè)計(jì)(論文)進(jìn)度計(jì)劃:起 至 日 期工 作 內(nèi) 容備 注2009.01.012009.01.07 2009.01.082009.01.142009.01.152009.01.22 2009.01.232009.02.01電子時(shí)鐘電源電路設(shè)計(jì)單片機(jī)最小系統(tǒng) 電子時(shí)鐘顯示電路電子時(shí)鐘按鍵電路原理圖原理圖,實(shí)物制作原理圖,實(shí)物制作原理圖,實(shí)物制作原理圖,實(shí)物制作 參考文獻(xiàn)、資料表文獻(xiàn)、資料名稱編 著 者出 版 單 位電子CAD-Protel電路設(shè)計(jì)Protel 99SE高級(jí)應(yīng)用單片機(jī)實(shí)用技術(shù)單片機(jī)原理與應(yīng)用技術(shù)單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)與實(shí)踐MCS-51單片機(jī)原理與接口技術(shù)單片機(jī)應(yīng)用技術(shù)M劉華東張偉 孫穎 趙晶劉高江力陳景初馬家辰劉守義清華大學(xué)出版社人民郵電出版社清華大學(xué)出版社清華大學(xué)出版社北京航空航天大學(xué)出版社。哈爾濱工業(yè)大學(xué)出版社。西安電子科技大學(xué)出版社此表由學(xué)生填寫(xiě)所參考的文獻(xiàn)、資料前言 電子科技日新月異,人們對(duì)現(xiàn)代電子設(shè)備的智能化和微型化及其精度提出了更高的要求,而單片機(jī)因其具有穩(wěn)定可靠、 體積小、 價(jià)格低廉等特點(diǎn),成為設(shè)計(jì)智能化儀器儀表的首選微控制器,因此本次我們沒(méi)有選用傳統(tǒng)的專(zhuān)用的時(shí)鐘芯片,而是采用了AT89S5芯片,此款單片機(jī)可以使用軟件對(duì)其進(jìn)行在線編程,其靈活性和可靠性都相對(duì)提高。通過(guò)此次實(shí)物制作,增強(qiáng)了我們的動(dòng)手能力,把理論與實(shí)踐融合在一起。同時(shí),也進(jìn)一步加深了對(duì)單片機(jī)的硬件結(jié)構(gòu)的理解和鞏固,編程能力也得到了提高。在此將電子鐘制作過(guò)程中用到的知識(shí)進(jìn)行了一些總結(jié),并記錄了遇到的問(wèn)題,希望自己今后能注意,并且能夠全方位的提高自己。同時(shí)也希望能成為讀者的參考資料,能幫助讀者避免出現(xiàn)相同的問(wèn)題,并能從中得到一些啟發(fā)。在此要感謝楊老師對(duì)我的指導(dǎo)和我同學(xué)對(duì)我的幫助,感謝同組人的合作及互相鼓勵(lì)。由于本人水平有限,書(shū)中的錯(cuò)漏在所難免,懇請(qǐng)讀者批評(píng)指正。目 錄1 多功能電子表說(shuō)明及總體方案介紹1.1 多功能電子表計(jì)時(shí)方案1.2 多功能電子表鍵盤(pán)/顯示方案2 電子鐘的工作原理3 多功能電子表原理框圖、原理圖及PCB圖3.1 多功能電子表原理框圖3.2 多功能電子表整機(jī)原理圖3.3 多功能電子表電路PCB圖4 多功能電子表元器件清單5 多功能電子表單元電路工作原理及芯片5.1 電源電路工作原理5.2 時(shí)鐘電路工作原理5.3 復(fù)位電路工作原理5.4 鍵盤(pán)電路工作原理5.5 顯示器工作原理5.6 AT89S51芯片5.7 74LS244芯片5.8 S8550PNP三極管5.9 四位一體數(shù)碼管6 單片機(jī)硬件資源分配7 程序流程圖8 電子鐘程序清單9 誤差分析10 電子鐘使用說(shuō)明11 設(shè)計(jì)體會(huì)12 教學(xué)意見(jiàn)13 參考資料1 多功能電子表說(shuō)明及總體方案介紹本次設(shè)計(jì)電子鐘系統(tǒng)功能簡(jiǎn)單,用單片機(jī)的最小系統(tǒng)就能得以實(shí)現(xiàn)。而單片機(jī)的最小系統(tǒng)設(shè)計(jì)中實(shí)際上最重要的就是對(duì)鍵盤(pán)/顯示器接口電路的設(shè)計(jì),由于系統(tǒng)功能不同所以要求就不同,接口設(shè)計(jì)也就不同。對(duì)一個(gè)鍵盤(pán)/顯示器接口設(shè)計(jì)應(yīng)從整個(gè)系統(tǒng)出發(fā),綜合考慮軟、硬件特點(diǎn)。下面是本人在設(shè)計(jì)前對(duì)各種設(shè)計(jì)方案的考慮:1.1 多功能電子表計(jì)時(shí)方案方案一:采用實(shí)時(shí)時(shí)鐘芯片實(shí)時(shí)時(shí)鐘芯片具備年、月、日、時(shí)、分、秒計(jì)時(shí)功能和多點(diǎn)定時(shí)功能,計(jì)時(shí)數(shù)據(jù)的更新每秒自動(dòng)進(jìn)行一次,不需程序干預(yù)。計(jì)算機(jī)可通過(guò)中斷或查詢方式讀取計(jì)時(shí)數(shù)據(jù)進(jìn)行顯示,因此計(jì)時(shí)功能的實(shí)現(xiàn)無(wú)需占用CPU的時(shí)間,程序簡(jiǎn)單。此外,實(shí)時(shí)時(shí)鐘芯片多數(shù)帶有鋰電池做后備電源,具備永不停止的計(jì)時(shí)功能;具有可編程方波輸出功能,可用做實(shí)時(shí)測(cè)控系統(tǒng)的采樣信號(hào)等;有的實(shí)時(shí)時(shí)鐘芯片內(nèi)部還帶有非易失性RAM,可用來(lái)存放需長(zhǎng)期保存但有時(shí)也需變更的數(shù)據(jù)。由于功能完善,精度高,軟件程序設(shè)計(jì)相對(duì)簡(jiǎn)單,且計(jì)時(shí)不占用CPU時(shí)間,因此,在工業(yè)實(shí)時(shí)測(cè)控系統(tǒng)中多采用這一類(lèi)專(zhuān)用芯片來(lái)實(shí)現(xiàn)實(shí)時(shí)時(shí)鐘功能。方案二: 軟件控制。利用單片機(jī)內(nèi)部的定時(shí)/計(jì)數(shù)器進(jìn)行中斷定時(shí),配合軟件延時(shí)實(shí)現(xiàn)時(shí)、分、秒的計(jì)時(shí)及秒表計(jì)時(shí)。該方案節(jié)省硬件成本,且能使設(shè)計(jì)者對(duì)單片機(jī)的指令系統(tǒng)能有更深入的了解,從而掌握單片機(jī)應(yīng)用技術(shù)MCS-51匯編語(yǔ)言程序設(shè)計(jì)方法,因此,本系統(tǒng)設(shè)計(jì)采用此種軟件控制方法來(lái)實(shí)現(xiàn)計(jì)時(shí)。而由于ATMEL公司的AT89S51單片機(jī)是低功耗的具有4KB在線可編程Flash存儲(chǔ)器的單片機(jī)。它與通用80C51系列單片機(jī)的指令系和引腳兼容。片內(nèi)的Flash可允許在線重新編程,也可使用通用非易失性存儲(chǔ)器編程。它將通用CPU和在線可編程Flash集成在一個(gè)芯片上,形成了功能強(qiáng)大、使用靈活和具有較高性能價(jià)格比的微控制器。它的功能強(qiáng)大,而且也較容易購(gòu)買(mǎi),故本設(shè)計(jì)中所選的單片機(jī)為AT89S51單片機(jī)。1.2 多功能電子表鍵盤(pán)/顯示方案方案一: 8279擴(kuò)展。該方案方框圖如圖1.2.1所示,8279是一種可編程的鍵盤(pán)/顯示接口專(zhuān)用芯片,它含有鍵盤(pán)輸入和顯示輸出兩種功能,鍵掃描程序和動(dòng)態(tài)顯示程序全由8279硬件自動(dòng)完成,此種方案能以比較簡(jiǎn)單的硬件 電路和較少的軟件開(kāi)銷(xiāo)實(shí)現(xiàn)單片機(jī)與鍵盤(pán)、LED顯示器的接口。方案二: 8155擴(kuò)展,LED動(dòng)態(tài)顯示。 該方案方框圖如圖1.2.2所示,8155是一塊可編程的接口芯片,與單片機(jī)的接口非常簡(jiǎn)單,它的鍵盤(pán)、顯示共用一個(gè)接口電路,可節(jié)省I/O口。但動(dòng)態(tài)掃描方式需占用CPU較多的時(shí)間,在單片機(jī)沒(méi)有太多實(shí)時(shí)測(cè)控任務(wù)的情況下可以采用。方案三: 串口擴(kuò)展,LED靜態(tài)顯示。該方案方框圖如圖1.2.3所示,獨(dú)立式鍵盤(pán)配置靈活,軟件結(jié)構(gòu)簡(jiǎn)單,按鍵較多時(shí)不宜采用。靜態(tài)顯示占用口資源少,采用串口傳輸實(shí)現(xiàn)靜態(tài)顯示, LED數(shù)碼管與單片機(jī)之間通過(guò)6個(gè)移位寄存器相連,顯示亮度有保證,但此方案的硬件開(kāi)銷(xiāo)大,電路復(fù)雜,信息刷新速度慢,比較適用于并行口資源較少的場(chǎng)合。方案四: 獨(dú)立式按鍵,LED動(dòng)態(tài)顯示。 該方案方框圖如圖1.2.4所示,獨(dú)立式按鍵直接與單片機(jī)I/O口相連構(gòu)成鍵盤(pán),每個(gè)按鍵不會(huì)相互影響,因本系統(tǒng)用到的按鍵比較少,采用獨(dú)立式鍵盤(pán)不會(huì)浪費(fèi)I/O口線,所以本系統(tǒng)采用獨(dú)立式鍵盤(pán)。動(dòng)態(tài)顯示的亮度雖然不如靜態(tài)顯示,但其硬件電路較簡(jiǎn)單,可節(jié)省硬件成本,雖然動(dòng)態(tài)掃描需占用CPU較多的時(shí)間,但本系統(tǒng)中的單片機(jī)沒(méi)有很多實(shí)時(shí)測(cè)控任務(wù),因此,本系統(tǒng)采用此種方案。 2 多功能電子表的工作原理本設(shè)計(jì)中的電子鐘的核心是AT89S5單片機(jī),其內(nèi)部帶有4KB在線可編程Flash存儲(chǔ)器的單片機(jī),無(wú)須外擴(kuò)程序存儲(chǔ)器,硬件電路主要由四部分構(gòu)成:時(shí)鐘電路,復(fù)位電路,鍵盤(pán)以及顯示電路。時(shí)鐘電路是電子表硬件電路的核心,沒(méi)有時(shí)鐘電路,電子表將無(wú)法正常工作計(jì)時(shí)。本系統(tǒng)時(shí)鐘電路采用的晶振的頻率為12MHz,定時(shí)器采用的是定時(shí)器0工作在方式1定時(shí),用于實(shí)現(xiàn)時(shí)、分、秒的計(jì)時(shí),定時(shí)時(shí)間為62.5ms。復(fù)位電路可使電子表恢復(fù)到初始狀態(tài)。鍵盤(pán)可對(duì)電子表進(jìn)行開(kāi)啟、停止,還能實(shí)現(xiàn)時(shí)、分、秒的顯示及設(shè)定等操作。顯示電路由兩個(gè)共陽(yáng)級(jí)4位一體LED數(shù)碼管構(gòu)成,它的段控端和位控端通過(guò)74LS244及其S8550PNP型號(hào)三極管與AT89S51單片機(jī)的I/O口相連,顯示器可使電子表顯示出時(shí)、分、秒。 多功能電子表的計(jì)時(shí)原理為:上電后,電子表顯示P.提示符,按下A鍵后,電子表從00:00:00開(kāi)始計(jì)時(shí)。當(dāng)定時(shí)器0的定時(shí)時(shí)間滿62.5ms后,定時(shí)器0溢出一次,溢出滿16次后,電子表的秒加1,滿60秒后,分加1,滿60分后,時(shí)加1,滿24時(shí)后,電子表重新從00:00:00開(kāi)始計(jì)時(shí)。3 多功能電子表原理方框圖、原理圖及PCB圖3.1 多功能電子表原理方框圖多功能電子表整機(jī)電路方框圖如圖3.1 3.2 多功能電子表電路原理圖3.2.1多功能電子表電源電路原理圖直流穩(wěn)壓電源電路原理圖如圖3.2所示3.2.2 多功能電子表整機(jī)電路原理3.3 多功能電子表電路PCB圖3.3.1 多功能電子表電源電路PCB圖電源電路PCB圖如圖3.4所示 3.3.2 多功能電子表整機(jī)電路PCB圖多功能電子表整機(jī)電路PCB圖如圖3.5所示3.5整機(jī)PCB圖4 多功能電子表元器件清單多功能電子表電路所有元器件清單如表4.1所示表4.1 多功能電子表元器件清單1元件名稱封裝形式元件號(hào)LED數(shù)碼管(共陽(yáng)極)DIP-12D1LED數(shù)碼管(共陽(yáng)極)DIP-12D2510電阻AXIAL0.4R1510電阻AXIAL0.4R2510電阻AXIAL0.4R3510電阻AXIAL0.4R4510電阻AXIAL0.4R5510電阻AXIAL0.4R6510電阻AXIAL0.4R7510電阻AXIAL0.4R81K電阻AXIAL0.4R9200電阻AXIAL0.4R104.7K電阻AXIAL0.4R114.7K電阻AXIAL0.4R124.7K電阻AXIAL0.4R134.7K電阻AXIAL0.4R144.7K電阻AXIAL0.4R154.7K電阻AXIAL0.4R164.7K電阻AXIAL0.4R174.7K電阻AXIAL0.4R18電源插座UINDIANYUAN74LS244芯片DIP-20A1S8550PNP三極管TO-585501S8550PNP三極管TO-585502S8550PNP三極管TO-585503S8550PNP三極管TO-585504S8550PNP三極管TO-585505S8550PNP三極管TO-585506輕觸開(kāi)關(guān)ADIP04A輕觸開(kāi)關(guān)BDIP04B輕觸開(kāi)關(guān)CDIP04C輕觸開(kāi)關(guān)DDIP04D輕觸開(kāi)關(guān)DIP04S512M晶振XTAL1Y133pF電容RAD0.2C133pF電容RAD0.2C222µF電容RB.2/.4C37805芯片TO-220U10.33µF電容RAD0.2C10.1µF電容RAD0.2C2220µF電容RB.2/.4C3220µF電容RB.2/.4C4橋式整流DIP-04D2二極管DIODE0.4D111V變壓器DIP-5TR擴(kuò)展插針SIP08J0擴(kuò)展插針SIP08J15 多功能電子表單元電路工作原理介紹5.1 電源電路工作原理 圖5.1所示為集成直流穩(wěn)壓電源電路的原理圖,本電源電路是由集成穩(wěn)壓器構(gòu)成的。電路可分成三部分:電源變壓器部分、整流濾波部分和穩(wěn)壓部分。變壓器原邊為工頻交流220V電壓,經(jīng)過(guò)變壓后,變壓器副邊的電壓變?yōu)榻涣?1V,11V交流電壓經(jīng)過(guò)橋式整流電路整流后變?yōu)橹绷?0V電壓,直流10V電壓作為CW7805的輸入電壓,CW7805輸出+5V電壓。圖中D2為整流橋,它由四個(gè)整流二極管接成電橋形式。C3為濾波電容,C1用于抵消輸入端較長(zhǎng)接線的電感效應(yīng),以防止自激振蕩,還可抑制電源的高頻脈沖干擾。一般取0.11F。CW7805為三端固定輸出集成穩(wěn)壓器,其輸入和輸出電壓都為固定值,它的輸入電壓為+10V,輸出電壓為+5V。C2和C4用以改善負(fù)載的瞬態(tài)響應(yīng),消除電路的高頻噪聲,同時(shí)也具有消振作用。5.2 時(shí)鐘電路工作原理 圖5.2所示為時(shí)鐘電路原理圖,在AT89S51芯片內(nèi)部有一個(gè)高增益反相放大器,其輸入端為芯片引腳XTAL1,輸出端為引腳XTAL2。而在芯片內(nèi)部,XTAL1和XTAL2之間跨接晶體振蕩器和微調(diào)電容,從而構(gòu)成一個(gè)穩(wěn)定的自激振蕩器。時(shí)鐘電路產(chǎn)生的振蕩脈沖經(jīng)過(guò)觸發(fā)器進(jìn)行二分頻之后,才成為單片機(jī)的時(shí)鐘脈沖信號(hào)。 5.3 復(fù)位電路工作原理 圖5.3所示為復(fù)位電路原理圖,復(fù)位是單片機(jī)的初始化操作,其主要功能是把PC初始化為0000H,使單片機(jī)從0000H單元開(kāi)始執(zhí)行程序,并使其它功能單元處于一個(gè)確定的初始狀態(tài)。本復(fù)位電路采用的是按鍵復(fù)位,它是通過(guò)復(fù)位端經(jīng)電阻與VCC電源接通而實(shí)現(xiàn)的,它兼具上電復(fù)位功能。因本系統(tǒng)的晶振的頻率為12MHz,所以,復(fù)位信號(hào)持續(xù)時(shí)間應(yīng)當(dāng)超過(guò)2S才能完成復(fù)位操作。5.4 鍵盤(pán)工作原理 圖5.4所示為鍵盤(pán)原理圖,本系統(tǒng)采用的是獨(dú)立式鍵盤(pán)結(jié)構(gòu),每個(gè)按鍵單獨(dú)占用一根I/O口線,每個(gè)按鍵的工作不會(huì)影響其它I/O口線的狀態(tài)。它軟件是采用查詢式結(jié)構(gòu),首先逐位查詢每根I/O口線的輸入狀態(tài),如某一根I/O口線輸入為低電平,則可確認(rèn)該I/O口線所對(duì)應(yīng)的按鍵已按下,然后,再轉(zhuǎn)向該鍵的功能處理程序。 5.5 顯示器工作原理 系統(tǒng)采用動(dòng)態(tài)顯示方式,用P0口來(lái)控制LED數(shù)碼管的段控線,而用P2口來(lái)控制其位控線。動(dòng)態(tài)顯示通常都是采用動(dòng)態(tài)掃描的方法進(jìn)行顯示,即循環(huán)點(diǎn)亮每一個(gè)數(shù)碼管,這樣雖然在任何時(shí)刻都只有一位數(shù)碼管被點(diǎn)亮,但由于人眼存在視覺(jué)殘留效應(yīng),只要每位數(shù)碼管間隔時(shí)間足夠短,就可以給人以同時(shí)顯示的感覺(jué)。圖中的S8550作為驅(qū)動(dòng)器,而8個(gè)510歐姆電阻則起限流作用。 由圖5.5可知,要想讓數(shù)碼管那一段亮,在該數(shù)碼管位控段為高電平的情況下給這段送低電平就可以了。顯示電路結(jié)構(gòu)采用動(dòng)態(tài)掃描的方式,所有數(shù)碼管的段控端公用單片機(jī)P0口的8根輸出口線,數(shù)碼管的段控端a、b、c、d、e、f、g、dp分別接到P0口的P0.0、P0.1、P0.2、P0.3、P0.4、P0.5、P0.6、P0.7口線上,每個(gè)數(shù)碼管的位控線單獨(dú)占用單片機(jī)P2口一根輸出口線,8位數(shù)碼管從高位到低位分別接P2.0P2.7引腳。段控碼(低電平有效)由P0口輸出經(jīng)上拉電阻上拉電壓后通過(guò)鎖存器74LS244送到數(shù)碼管的段控端,位控碼由P2口輸出經(jīng)三極管S8550驅(qū)動(dòng)后送到數(shù)碼管的位控端。在單片機(jī)內(nèi)部顯示緩沖區(qū)79H、7AH、7BH、7CH、7DH、7EH內(nèi)的值分別是秒的個(gè)位、秒的十位、分的個(gè)位、分的十位、時(shí)的個(gè)位、時(shí)的十位,顯示器LED0、LED1、LED2、LED3、LED4、LED5分別顯示秒的個(gè)位十位、分的個(gè)位十位、時(shí)的個(gè)位十位,由圖5.5所示。數(shù)碼管動(dòng)態(tài)顯示:由于顯示的數(shù)據(jù)和LED數(shù)碼管的段控碼并不是一一對(duì)應(yīng)的關(guān)系,即顯示的數(shù)據(jù)與數(shù)碼管的字型代碼不相符。顯示數(shù)據(jù)與字型代碼之間存在著轉(zhuǎn)換關(guān)系,數(shù)碼管段控?cái)?shù)據(jù)和數(shù)碼管各段的對(duì)應(yīng)關(guān)系如表5.1、表5.2所示。表5.1 數(shù)碼管數(shù)據(jù)和數(shù)碼管每段的對(duì)應(yīng)關(guān)系D7D6D5D4D3D2D1D0LED顯示碼a111111100FEHb111111010FDHc111110110FBHd111101110F7He111011110EFHf110111110DFHg101111110BFHdp011111117FH表5.2 數(shù)碼管斷碼和字型的對(duì)應(yīng)關(guān)系(共陽(yáng)極)字型D7D6D5D4D3D2D1D0段碼dpgfedcba0110000000CO1111110010F92101001000A43101100000B04100110019951001001092610000010827111110000F88100000008091001000090A1000100088B1000001183C110001100C6D101000000A0E1000011086F100011108EP.0000011006全亮0000000000全滅111111110FF從電子鐘程序清單中的顯示程序可以知道:數(shù)據(jù)表格存儲(chǔ)單元從首地址到最高位分別存放的是共陽(yáng)極數(shù)碼管0、1、2、3、4、5、6、7、8、9、P.、滅的十六進(jìn)制字型代碼,所以只要把顯示緩沖區(qū)內(nèi)的數(shù)值加上偏移地址rel(偏移量計(jì)算方法如式3.1所示),把和送到累加器A中,使用MOVC A, A+PC就可以取出緩沖區(qū)內(nèi)要顯示數(shù)據(jù)的字型代碼,當(dāng)然要取P.和滅的字型代碼就要分別給緩沖區(qū)送0AH、0BH。 偏移地址rel=數(shù)據(jù)表格首地址-MOVC A A+PC指令單元首地址-1 式3.1在動(dòng)態(tài)掃描時(shí),顯示緩沖區(qū)79H內(nèi)部存放的是要顯示的秒的個(gè)位的數(shù)值,使用MOVC A, A+PC指令取出段控碼,由P0口輸出通過(guò)鎖存器74LS244后送到數(shù)碼管的段控端,給P2口送01H通過(guò)鎖存器74LS244驅(qū)動(dòng)以后,只有LED0位的位控端有效,此時(shí)只有LED0被點(diǎn)亮來(lái)顯示秒的個(gè)位,延時(shí)持續(xù)點(diǎn)亮一段時(shí)間,然后把顯示緩沖單元地址加1,位控值左移一位,取出段控碼,再把段控碼和位控碼送到數(shù)碼管顯示器,此時(shí)只有LED1被點(diǎn)亮顯示秒的十位,延時(shí)持續(xù)點(diǎn)亮一段時(shí)間,就這樣通過(guò)逐個(gè)地從低位到高位點(diǎn)亮各個(gè)顯示器,掃描到最高位時(shí)的十位被點(diǎn)亮就返回。這樣雖然在任一時(shí)刻只有一位顯示器被點(diǎn)亮,但是由于人眼具有視覺(jué)殘留效應(yīng),看起來(lái)與全部顯示器持續(xù)點(diǎn)亮效果完全一樣。5.6 AT89S51芯片介紹如圖5.7所示為AT89S51芯片的引腳圖兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng)的AT89S51單片機(jī)是一個(gè)低功耗、高性能CHMOS的單片機(jī),片內(nèi)含4KB在線可編程Flash存儲(chǔ)器的單片機(jī)。它與通用80C51系列單片機(jī)的指令系統(tǒng)和引腳兼容。AT89S51單片機(jī)片內(nèi)的Flash可允許在線重新編程,也可用通用非易失性存儲(chǔ)編程器編程;片內(nèi)數(shù)據(jù)存儲(chǔ)器內(nèi)含128字節(jié)的RAM;有40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口;具有兩個(gè)16位可編程定時(shí)器;中斷系統(tǒng)是具有6個(gè)中斷源、5個(gè)中斷矢量、2級(jí)中斷優(yōu)先級(jí)的中斷結(jié)構(gòu);震蕩器頻率0到33MHZ,因此我們?cè)诖诉x用12MHZ的晶振是比較合理的;具有片內(nèi)看門(mén)狗定時(shí)器;具有斷電標(biāo)志POF等等。AT89S51具有PDIP,TQFP和PLCC三種封裝形式。上圖就是PDIP封裝的引腳排列,下面介紹各引腳的功能。P0口:8位、開(kāi)漏級(jí)、雙向I/O口 。P0口可作為通用I/O口,但須外接上拉電阻;作為輸出口,每各引腳可吸收8各TTL的灌電流。作為輸入時(shí),首先應(yīng)將引腳置1。P0也可用做訪問(wèn)外部程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器時(shí)的低8位地址/數(shù)據(jù)總線的復(fù)用線。在該模式下,P0口含有內(nèi)部上拉電阻。在FLASH編程時(shí),P0口接收代碼字節(jié)數(shù)據(jù);在編程效驗(yàn)時(shí),P0口輸出代碼字節(jié)數(shù)據(jù)(需要外接上拉電阻)。P1口:8位、雙向I/0口,內(nèi)部含有上拉電阻。P1口可作普通I/O口。輸出緩沖器可驅(qū)動(dòng)四個(gè)TTL負(fù)載;用作輸入時(shí),先將引腳置1,由片內(nèi)上拉電阻將其抬到高電平。P1口的引腳可由外部負(fù)載拉到低電平,通過(guò)上拉電阻提供電流。 在FLASH并行編程和校驗(yàn)時(shí),P1口可輸入低字節(jié)地址。在串行編程和效驗(yàn)時(shí),P1.5/MO-SI,P1.6/MISO和P1.7/SCK分別是串行數(shù)據(jù)輸入、輸出和移位脈沖引腳。 P2口:具有內(nèi)部上拉電阻的8位雙向I/O口。P2口用做輸出口時(shí),可驅(qū)動(dòng)4各TTL負(fù)載;用做輸入口時(shí),先將引腳置1,由內(nèi)部上拉電阻將其提高到高電平。若負(fù)載為低電平,則通過(guò)內(nèi)部上拉電阻向外部輸出電流。CPU訪問(wèn)外部16位地址的存儲(chǔ)器時(shí),P2口提供高8位地址。當(dāng)CPU用8位地址尋址外部存儲(chǔ)時(shí),P2口為P2特殊功能寄存器的內(nèi)容。在FLASH并行編程和校驗(yàn)時(shí),P2口可輸入高字節(jié)地址和某些控制信號(hào)。P3口:具有內(nèi)部上拉電阻的8位雙向口。P3口用做輸出口時(shí),輸出緩沖器可吸收4各TTL的灌電流;用做輸入口時(shí),首先將引腳置1,由內(nèi)部上拉電阻抬位高電平。若外部的負(fù)載是低電平,則通過(guò)內(nèi)部上拉電阻向輸出電流。在與FLASH并行編程和校驗(yàn)時(shí),P3口可輸入某些控制信號(hào)。P3口除了通用I/O口功能外,還有替代功能,如表5.3所示表5.3 P3口的替代功能引腳符號(hào)說(shuō)明P3.0RXD串行口輸入P3.1TXD串行口輸出P3.2/INT0外部中斷0P3.3/INT1外部中斷1P3.4T0T0定時(shí)器的外部的計(jì)數(shù)輸入P3.5T1T1定時(shí)器的外部的計(jì)數(shù)輸入P3.6/WR外部數(shù)據(jù)存儲(chǔ)器的寫(xiě)選通P3.7/RD外部數(shù)據(jù)存儲(chǔ)器的讀選通RST:復(fù)位端。當(dāng)振蕩器工作時(shí),此引腳上出現(xiàn)兩個(gè)機(jī)器周期的高電平將系統(tǒng)復(fù)位。ALE/ :當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),ALE(允許地址鎖存)是一個(gè)用于鎖存地址的低8位字節(jié)的書(shū)粗脈沖。在Flash 編程期間,此引腳也可用于輸入編程脈沖()。在正常操作情況下,ALE以振蕩器頻率的1/6的固定速率發(fā)出脈沖,它是用作對(duì)外輸出的時(shí)鐘,需要注意的是,每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如果希望禁止ALE操作,可通過(guò)將特殊功能寄存器中位地址為8EH那位置的“0”來(lái)實(shí)現(xiàn)。該位置的“1”后。ALE僅在MOVE或MOVC指令期間激活,否則ALE引腳將被略微拉高。若微控制器在外部執(zhí)行方式,ALE禁止位無(wú)效。:外部程序存儲(chǔ)器讀選取通信號(hào)。當(dāng)AT89S51在讀取外部程序時(shí), 每個(gè)機(jī)器周期 將PSEN激活兩次。在此期間內(nèi),每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)兩個(gè)信號(hào)。/Vpp:訪問(wèn)外部程序存儲(chǔ)器允許端。為了能夠從外部程序存儲(chǔ)器的0000H至FFFFH單元中取指令,必須接地,然而要注意的是,若對(duì)加密位1進(jìn)行編程,則在復(fù)位時(shí),的狀態(tài)在內(nèi)部被鎖存。執(zhí)行內(nèi)部程序應(yīng)接VCC。不當(dāng)選擇12V編程電源時(shí),在Flash編程期間,這個(gè)引腳可接12V編程電壓。XTAL1:振蕩器反向放大器輸入端和內(nèi)部時(shí)鐘發(fā)生器的輸入端。XTAL2:振蕩器反相放大器輸出端。5.7 74LS244驅(qū)動(dòng)器74LS244是單向總線驅(qū)動(dòng)器。是8輸入8輸出芯片,中1G和2G為使能端,低電平有效。它的外部管腳圖如圖5.8所示。74LS244是一種三態(tài)輸出的八緩沖器和線驅(qū)動(dòng)器,該芯片的邏輯電路圖和引腳圖如圖5.8所示。從圖5.8可見(jiàn),該緩沖器有8個(gè)輸入端,分為兩路1A11A4,2A12A4,同時(shí)8個(gè)輸出端,也分為兩路1Y11Y4,2Y12Y4,分別由2個(gè)門(mén)控信號(hào)1G和2G控制,當(dāng)記為低電平時(shí),1Y11Y4的電平與1A11A4的電平相同,即輸出反映輸入電平的高低;同樣,當(dāng)2G為低電平時(shí),1Y11Y4的電平與2A12A4的電平和同。而當(dāng)1G(或2G)為高電平時(shí),輸出1A11A4(或2A12A4)為高阻態(tài)。經(jīng)74LS244緩沖后,輸入信號(hào)被驅(qū)動(dòng),輸出信號(hào)的驅(qū)動(dòng)能力加大了。其功能表如表5.4所示,表中  H為高電平,L為低電平,A為高阻態(tài),X為任意狀態(tài)表5.4 74LS244引腳功能表輸入輸出GAYLLLLHHNXX5.8 S8550PNP三極管5.8.1主要用途:作為音頻放大器,應(yīng)用于收錄機(jī)、電動(dòng)玩具等電子產(chǎn)品。(與S8050互補(bǔ))其管腳圖如下圖5.95.8.2極限值如下表5.5 參數(shù)符號(hào)標(biāo)稱值單位集電極、基極擊穿電壓VCBO-40V集電極、發(fā)射極擊穿電壓VCEO-25V發(fā)射極、基極擊穿電壓VEBO-6V集電極電流IC-1.5A集電極功率PC1W結(jié)溫TJ125貯存溫TSTG-55-1255.8.3電參數(shù)(Ta=25)電參數(shù)表5.6參數(shù)符號(hào)測(cè)試條件最小值典型值最大值單 位集電極漏電流ICBOVCB=-35,IE=0-100nA發(fā)射極漏電流IEBOVBE=-6,IC=0-100nA集電極、發(fā)射極擊穿電壓BVCEOIC=-2mA,IB=0-25V發(fā)射極、基極擊穿電壓BVEBOIE=-100A,IC=0-6V集電極、基極擊穿電壓BVCBOIC=-100A,IE=0-40V集電極、發(fā)射極飽和壓降VCE(sat)IC=-800mA,IB=-80mA-0.5V基極、發(fā)射極飽和壓降VBE(sat)IC=-800mA,IB=-80mA-1.2V基極、發(fā)射極壓降VBEVCE=-1V,IC=-10mA-1.0V直流電流增益HFE1VCE=-1V,IC=-100mA85300HFE2VCE=-1V,IC=-800mA40表5.7 十六進(jìn)制數(shù)字型代碼字型共陽(yáng)極代碼共陰極代碼字型共陽(yáng)極代碼共陰極代碼0C0H3FH990H6FH1F9H06HA88H77H2A4H5BHb83H7CH3B0H4FHCC6H39H499H66HdA1H5EH592H6DHE86H79H682H7DHF8EH71H7F8H07H滅FFH00H880H7FH6 單片機(jī)硬件資源的分配本次設(shè)計(jì)用到了單片機(jī)正常工作的硬件資源,如(連接晶振的引腳XTAL1和XTAL2,復(fù)位引腳RESET),對(duì)其硬件資源還做了具體的安排。(1).P0口作為數(shù)碼管顯示器的段控輸出口,對(duì)數(shù)碼管顯示器進(jìn)行控制。(2).P1口P1.0P1.3接了四個(gè)獨(dú)立式分別為A鍵、B鍵、C鍵、D鍵,用于對(duì)鍵盤(pán)的控制,P1.5、P1.6、P1.7則作為ISP程序下載的輸入端。(3).P2口該口全部用于數(shù)碼管的位控端。(4).定時(shí)/計(jì)數(shù)器使用定時(shí)器0來(lái)實(shí)現(xiàn)本次電子鐘的運(yùn)行。(5).內(nèi)部存儲(chǔ)單元30H存儲(chǔ)定時(shí)/計(jì)數(shù)器0的中斷次數(shù)。31H36H分別作為時(shí)、分、秒個(gè)位和十位的數(shù)據(jù)存儲(chǔ)單元。79H7E分別作為L(zhǎng)ED0、LED1、LED2、LED3、LED4、LED5顯示緩沖單元。(6).通用寄存器第0組寄存器:R0、R1、R3、R7,用來(lái)存放鍵功能程序的數(shù)據(jù);第1組寄存器:R3,用來(lái)存放中斷服務(wù)程序的數(shù)據(jù);第2組寄存器:R1、R4,用來(lái)存放顯示程序的數(shù)據(jù)。(7).專(zhuān)用寄存器定時(shí)器控制寄存器TCON,通過(guò)設(shè)置該寄存器中TR0位的狀態(tài)來(lái)控制定時(shí)/計(jì)數(shù)器0的啟動(dòng)/停止;中斷允許寄存器IE,通過(guò)設(shè)置該寄存器EA/ET0位的狀態(tài)來(lái)設(shè)置定時(shí)/計(jì)數(shù)器0中斷允許/禁止;定時(shí)/計(jì)數(shù)器工作方式寄存器TMOD,設(shè)置定時(shí)/計(jì)數(shù)器0的工作方式。7 程序流程圖 8 電子鐘程序清單調(diào)整運(yùn)行后的電子鐘程序清單;該程序?qū)崿F(xiàn)電子鐘時(shí)、分、秒運(yùn)行,時(shí)、分、秒調(diào)整;十、分、秒同時(shí)顯示;共A、B、C、D四個(gè)按鍵,A鍵實(shí)現(xiàn)電子鐘的運(yùn)行和停止、B鍵調(diào)時(shí)、C鍵調(diào)分、D鍵調(diào)秒;該電子鐘是單片機(jī)AT89S51控制,使用芯片中的定時(shí)器0方式1實(shí)現(xiàn)定時(shí)功能;P0口輸出段控碼、P2口輸出位控碼控制數(shù)碼管顯示;該電子鐘共使用兩個(gè)4位一體數(shù)碼管(S02841A-B)顯示;系統(tǒng)初始化程序*ORG 0000H AJMP MAIN;轉(zhuǎn)到系統(tǒng)初始化程序 ORG 000BH AJMP PITO;轉(zhuǎn)到定時(shí)器0中斷服務(wù)程序 ORG 0100H MAIN: MOV SP, #60H;確立堆棧區(qū) MOV TMOD, #01H;設(shè)定定時(shí)器0為工作方式1 MOV TL0, #0DCH;裝計(jì)數(shù)器初值 MOV TH0, #0BH CLR 21H.0 CLR TR0H;TR0置“0”,定時(shí)關(guān)閉 SETB EAH;EA置“1”,中斷總允許 SETB ET0H ;ET0置“1”,定時(shí)器0中斷 允許 MOV 30H, #10H;循環(huán)次數(shù) MOV 7EH, #0AH;P.點(diǎn)顯示初始化 MOV R0, #79H MOV R1, #05H PP: MOV R0, #0BH INC R0 DJNZ R1, PP MOV R0, #31H;時(shí)、分、秒值存儲(chǔ)單元清零 MOV R1, #06H Q00: MOV R0, #00H INC R0 DJNZ R1, Q00;監(jiān)控程序* LOOP: LCALL DIR;調(diào)顯示子程序 LCALL KEY;調(diào)鍵掃描子程序 LOOP1: JB 20H.0, KEYA ;A鍵是否按下,是跳轉(zhuǎn)到A鍵功能程序 JB 20H.1, KEYB ;B鍵是否按下,是跳轉(zhuǎn)到B鍵功能程序 JB 20H.2, KEYC ;C鍵是否按下,是跳轉(zhuǎn)到C鍵功能程序JB 20H.3, KEYD ;D鍵是否按下,是跳轉(zhuǎn)到D鍵功能程序 LCALL DIR LJMP LOOP;跳轉(zhuǎn)到監(jiān)控程序;A鍵功能子程序* KEYA: CPL TR0H ;開(kāi)啟/關(guān)閉定時(shí)器 SETB 21H.0 AJMP LOOP ;返回;B鍵功能子程序* KEYB: JB TR0H, KEYBO ;定時(shí)器是否運(yùn)行,是跳轉(zhuǎn) JNB 21H.0, KEYBOO MOV R0, #36H ;時(shí)值存儲(chǔ)單元地址送R0 LCALL DAAD1 ;調(diào)加1子程序 MOV A, R3 ;時(shí)值加1后的值送累加器A XRL A, #24H JNZ KEYBO ;時(shí)值是否到24時(shí),否返回 LCALL CLR0 ;時(shí)值存儲(chǔ)單元清零 KEYBO: MOV 7DH, 35H MOV 7EH, 36H KEYBOO: LJMP LOOP ;返回監(jiān)控程序;C鍵功能子程序* KEYC: JB 8CH, KEYCO ;定時(shí)器是否運(yùn)行,否跳轉(zhuǎn) JNB 21H.0, KEYCOO MOV R0, #34H ;分值存儲(chǔ)單元地址送R0 LCALL DAAD1 ;調(diào)加1子程序 MOV A, R3 ;分值加1后的值送累加器A XRL A, #60H JNZ KEYCO ;分值是否到60分,否返回 LCALL CLR0 ;分值存儲(chǔ)單元清零 KEYCO: MOV 7CH, 34H MOV 7BH, 33H KEYCOO: LJMP LOOP ;返回監(jiān)控程序;D鍵功能子程序* KEYD: JB 8CH, KEYDO ;定時(shí)器是否運(yùn)行,否跳轉(zhuǎn) JNB 21H.0, KEYDOO MOV R0, #32H ;秒值存儲(chǔ)單元地址送R0 LCALL DAAD1 ;調(diào)加1子程序 MOV A, R3 ;秒值加1后的值送R0 XRL A, #60H JNZ KEYDO;秒值是否到60秒,否返回 LCALL CLR0;秒值存儲(chǔ)單元清0 KEYDO: MOV 79H, 31H MOV 7AH, 32H KEYDOO: LJMP LOOP;返回監(jiān)控程序;中斷服務(wù)程序* PITO: PUSH PSW;中斷服務(wù)程序,現(xiàn)場(chǎng)保護(hù) PUSH A SETB RS0;選第三組通用寄存器 SETB RS1 MOV TL0, #0DCH;計(jì)數(shù)器重新加載 MOV TH0, #0BH MOV A, 30H;循環(huán)次數(shù)減1 DEC A MOV 30H, A JNZ RETO;不滿16次,轉(zhuǎn)RET0返回 MOV 30H, #10H;滿16次開(kāi)始計(jì)時(shí)操作 MOV R0, #32H;秒顯示單元地址 ACALL DAAD1;秒加1 MOV A, R3;加1后秒值在R3中 XRL A, #60H;判是否到60秒 JNZ RETO;不到轉(zhuǎn)RET0返回 ACALL CLR0;到60秒顯示單元清0 MOV R0, #34H;分顯示單元地址 ACALL DAAD1;分加1 MOV A, R3;加1后分值在R3中 XRL A, #60H;判是否到60分 JNZ RETO;不到轉(zhuǎn)RET0返回 ACALL CLR0;到60分顯示單元清0 MOV R0, #36H;時(shí)顯示單元地址 ACALL DAAD1;時(shí)加1 MOV A, R3;加1后時(shí)值在R3中 XRL A, #24H;判是否到24時(shí) JNZ RETO;不到轉(zhuǎn)RET0返回 ACALL CLR0;到24時(shí)顯示單元清0 RETO: CLR RS0;返回第0組通用寄存器 CLR RS1 POP A;現(xiàn)場(chǎng)恢復(fù) POP PSW MOV 79H, 31H;時(shí)、分、秒值放緩沖區(qū) MOV 7AH, 32H MOV 7BH, 33H MOV 7CH, 34H MOV 7DH, 35H MOV 7EH, 36H RETI ;中斷返回;加1子程序* DAAD1: MOV A, R0 ;加1子程序,十位送A DEC R0 SWAP

注意事項(xiàng)

本文(畢業(yè)設(shè)計(jì)任務(wù)書(shū) 實(shí)習(xí)報(bào)告 大學(xué)生畢業(yè)實(shí)習(xí)報(bào)告)為本站會(huì)員(痛***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!