數(shù)電復(fù)習(xí)題含答案.doc

上傳人:good****022 文檔編號(hào):116531074 上傳時(shí)間:2022-07-05 格式:DOC 頁(yè)數(shù):24 大小:2.47MB
收藏 版權(quán)申訴 舉報(bào) 下載
數(shù)電復(fù)習(xí)題含答案.doc_第1頁(yè)
第1頁(yè) / 共24頁(yè)
數(shù)電復(fù)習(xí)題含答案.doc_第2頁(yè)
第2頁(yè) / 共24頁(yè)
數(shù)電復(fù)習(xí)題含答案.doc_第3頁(yè)
第3頁(yè) / 共24頁(yè)

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《數(shù)電復(fù)習(xí)題含答案.doc》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)電復(fù)習(xí)題含答案.doc(24頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、數(shù) 電 復(fù) 習(xí) 題選擇題:1下列四個(gè)數(shù)中,與十進(jìn)制數(shù)(163)10不相等的是( D )A、(A3)16 B、(10100011)2 C、(000101100011)8421BCD D、(203)82N個(gè)變量可以構(gòu)成多少個(gè)最小項(xiàng)( C )A、N B、2NC、2N D、2N-13下列功能不是二極管的常用功能的是( C )A、檢波 B、開關(guān)C、放大 D、整流4.將十進(jìn)制數(shù)轉(zhuǎn)換成八進(jìn)制數(shù)是 ( B )A、20 B、22C、21 D、235譯碼器的輸入地址線為4根,那么輸出線為多少根( C )A、8 B、12C、16 D、206能把正弦信號(hào)轉(zhuǎn)換成矩形脈沖信號(hào)的電路是(D )A、多諧振蕩器 B、D/A轉(zhuǎn)換

2、器 C、JK觸發(fā)器 D、施密特觸發(fā)器7三變量函數(shù)的最小項(xiàng)表示中不含下列哪項(xiàng) ( A ) A、m2 B、 m5 C、m3 D、 m78用PROM來(lái)實(shí)現(xiàn)組合邏輯電路,他的可編程陣列是( B )A、與陣列 B、或陣列C、與陣列和或陣列都可以 D、以上說(shuō)法都不對(duì)9A/D轉(zhuǎn)換器中,轉(zhuǎn)換速度最高的為(A )轉(zhuǎn)換A、并聯(lián)比較型 B、逐次逼近型C、雙積分型 D、計(jì)數(shù)型10關(guān)于PAL器件與或陣列說(shuō)法正確的是 ( A )A、 只有與陣列可編程 B、 都是可編程的C、 只有或陣列可編程 D、 都是不可編程的 11. 當(dāng)三態(tài)門輸出高阻狀態(tài)時(shí),輸出電阻為 ( A )A、無(wú)窮大 B、約100歐姆C、無(wú)窮小 D、約10歐姆

3、12為使采樣輸出信號(hào)不失真地代表輸入模擬信號(hào),采樣頻率和輸入模擬信號(hào)的最高頻率的關(guān)系是(C )A、 B、 C、2 D、 213. 下列說(shuō)法不正確的是( C)A集電極開路的門稱為OC門B三態(tài)門輸出端有可能出現(xiàn)三種狀態(tài)(高阻態(tài)、高電平、低電平)COC門輸出端直接連接可以實(shí)現(xiàn)正邏輯的線或運(yùn)算D.利用三態(tài)門電路可實(shí)現(xiàn)雙向傳輸14. 以下錯(cuò)誤的是( B )A數(shù)字比較器可以比較數(shù)字大小B實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)相加的電路叫全加器C實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)和來(lái)自低位的進(jìn)位相加的電路叫全加器D編碼器可分為普通全加器和優(yōu)先編碼器15. 下列描述不正確的是(A )A觸發(fā)器具有兩種狀態(tài),當(dāng)Q=1時(shí)觸發(fā)器處于1態(tài)B時(shí)序電路必

4、然存在狀態(tài)循環(huán)C異步時(shí)序電路的響應(yīng)速度要比同步時(shí)序電路的響應(yīng)速度慢 D邊沿觸發(fā)器具有前沿觸發(fā)和后沿觸發(fā)兩種方式,能有效克服同步觸發(fā)器的空翻現(xiàn)象16離散的,不連續(xù)的信號(hào),稱為( B )。A模擬信號(hào) B.數(shù)字信號(hào)17組合邏輯電路通常由( A )組合而成。A門電路 B.觸發(fā)器 C.計(jì)數(shù)器188線3線優(yōu)先編碼器的輸入為I0I7 ,當(dāng)優(yōu)先級(jí)別最高的I7有效時(shí),其輸出的值是( C )。A111 B.010 C.000 D.10119十六路數(shù)據(jù)選擇器的地址輸入(選擇控制)端有( C )個(gè)。A16 B.2 C.4 D.820一位8421BCD碼譯碼器的數(shù)據(jù)輸入線與譯碼輸出線的組合是( C )。A4:6 B.1

5、:10 C.4:10 D.2:421函數(shù)的結(jié)果是 ( C )A. B. C. D. 22ROM屬于( A )。A組合邏輯電路 B.時(shí)序邏輯電路23有一個(gè)左移移位寄存器,當(dāng)預(yù)先置入1011后,其串行輸入固定接0,在4個(gè)移位脈沖CP作用下,四位數(shù)據(jù)的移位過(guò)程是( A )。A.1011-0110-1100-10000000 B.1011-0101-0010-0001000024. 一個(gè)二進(jìn)制序列檢測(cè)電路,當(dāng)輸入序列中連續(xù)輸入5位數(shù)碼均為1時(shí),電路輸出1,則同步時(shí)序電路最簡(jiǎn)狀態(tài)數(shù)為 ( B )A. 4 B. 5C. 6 D. 725. 可以直接現(xiàn)與的器件是 ( A )A. OC門 B. I2L門C.

6、ECL門 D. TTL門26. 16個(gè)觸發(fā)器構(gòu)成計(jì)數(shù)器,該計(jì)數(shù)器可能的最大計(jì)數(shù)模值是( D )A. 16 B. 32C.162 D. 21627. 用1K1位的RAM擴(kuò)展成4K2位應(yīng)增加地址線( B )根。A.1 B.2C.3 D.428. 能把正弦信號(hào)轉(zhuǎn)換成矩形脈沖信號(hào)的電路是( D )A.多諧振蕩器 B.D/A轉(zhuǎn)換器 C.JK觸發(fā)器 D.施密特觸發(fā)器29. 接通電源就能輸出矩形脈沖波形的是( A )A.多諧振蕩器 B.D/A轉(zhuǎn)換器 C.JK觸發(fā)器 D.施密特觸發(fā)器30.在函數(shù)F=AB+CD的真值表中,F(xiàn)=1的狀態(tài)有多少個(gè)?( D )。A、2 B、4 C、6 D、7 E、1631.對(duì)于題圖1

7、所示波形,其反映的邏輯關(guān)系是:( B ) 圖1A、與非關(guān)系;B、異或關(guān)系;C、同或關(guān)系;D、或關(guān)系;E、無(wú)法判斷。32、矩形脈沖信號(hào)的參數(shù)有 D 。A.周期 B.占空比 C.脈寬 D.以上都是33、電路的輸出態(tài)不僅與當(dāng)前輸入信號(hào)有關(guān),還與前一時(shí)刻的電路狀態(tài)有關(guān),這種電路為( B )。A.組合電路 B.時(shí)序電路34、米利和莫爾型時(shí)序電路的本質(zhì)區(qū)別是( B )A、沒有輸入變量。B、當(dāng)時(shí)的輸出只和當(dāng)時(shí)電路的狀態(tài)有關(guān),和當(dāng)時(shí)的輸入無(wú)關(guān)。C、沒有輸出變量。D、當(dāng)時(shí)的輸出只和當(dāng)時(shí)的輸入有關(guān),和當(dāng)時(shí)電路狀態(tài)無(wú)關(guān)。35、十進(jìn)制數(shù)25用8421BCD碼表示為 B 。A.10 101 B.0010 0101 C.

8、100101 D.101036、下列各函數(shù)等式中無(wú)冒險(xiǎn)現(xiàn)象的函數(shù)式有 D 。A. B. C. D. E.37、在下列邏輯電路中,不是組合邏輯電路的有 D 。A.譯碼器 B.編碼器 C.全加器 D.寄存器38、把一個(gè)五進(jìn)制計(jì)數(shù)器與一個(gè)四進(jìn)制計(jì)數(shù)器串聯(lián)可得到 D 進(jìn)制計(jì)數(shù)器。A.4 B.5 C.9 D.2039、N個(gè)觸發(fā)器可以構(gòu)成最大計(jì)數(shù)長(zhǎng)度(進(jìn)制數(shù))為 D 的計(jì)數(shù)器。A.N B.2N C.N2 D.2N40、同步時(shí)序電路和異步時(shí)序電路比較,其差異在于后者 B 。A.沒有觸發(fā)器 B.沒有統(tǒng)一的時(shí)鐘脈沖控制C.沒有穩(wěn)定狀態(tài) D.輸出只與內(nèi)部狀態(tài)有關(guān)41、尋址容量為16K8的RAM需要 C 根地址線。

9、A.4 B.8 C.14 D.16 E.16K42、只讀存儲(chǔ)器ROM中的內(nèi)容,當(dāng)電源斷掉后又接通,存儲(chǔ)器中的內(nèi)容 D 。A.全部改變 B.全部為0 C.不可預(yù)料 D.保持不變43、將一個(gè)時(shí)間上連續(xù)變化的模擬量轉(zhuǎn)換為時(shí)間上斷續(xù)(離散)的模擬量的過(guò)程稱為 A 。A.采樣 B.量化 C.保持 D.編碼44、若某ADC取量化單位=,并規(guī)定對(duì)于輸入電壓,在0時(shí),認(rèn)為輸入的模擬電壓為0V,輸出的二進(jìn)制數(shù)為000,則時(shí),輸出的二進(jìn)制數(shù)為 B 。A.001 B.101 C.110 D.11145、指出下列電路中能把串行數(shù)據(jù)轉(zhuǎn)換為并行數(shù)據(jù)的是( C )A、JK觸發(fā)器 B、3線-8線譯碼器C、移位寄存器 D、十進(jìn)

10、制計(jì)數(shù)器46、邏輯函數(shù)F= = A 。A.B B.A C. D. 47、在何種輸入情況下,“與非”運(yùn)算的結(jié)果是邏輯0。 D A全部輸入是0 B.任一輸入是0 C.僅一輸入是0 D.全部輸入是148、若在編碼器中有50個(gè)編碼對(duì)象,則要求輸出二進(jìn)制代碼位數(shù)為 B 位。A.5 B.6 C.10 D.5049、在下列邏輯電路中,不是組合邏輯電路的有 D 。A.譯碼器 B.編碼器 C.全加器 D.寄存器50、下列邏輯電路中為時(shí)序邏輯電路的是 C 。A.變量譯碼器 B.加法器 C.數(shù)碼寄存器 D.數(shù)據(jù)選擇器51、隨機(jī)存取存儲(chǔ)器具有 A 功能。A.讀/寫 B.無(wú)讀/寫 C.只讀 D.只寫52、尋址容量為16

11、K8的RAM需要 C 根地址線。A.4 B.8 C.14 D.16 E.16K53、用二進(jìn)制碼表示指定離散電平的過(guò)程稱為 D 。A.采樣 B.量化 C.保持 D.編碼54、將幅值上、時(shí)間上離散的階梯電平統(tǒng)一歸并到最鄰近的指定電平的過(guò)程稱為 B 。A.采樣 B.量化 C.保持 D.編碼填空題:1. 數(shù)制轉(zhuǎn)換: (8F)16 = (143 )10= (10001111 )2 = (217 )8。2. 有一數(shù)碼10010011,作為自然二進(jìn)制數(shù)時(shí),它相當(dāng)于十進(jìn)制數(shù)(147),作為8421BCD碼時(shí),它相當(dāng)于十進(jìn)制數(shù)(93)。3. 已知某函數(shù),該函數(shù)的反函數(shù)=()4. 如果對(duì)鍵盤上108個(gè)符號(hào)進(jìn)行二進(jìn)

12、制編碼,則至少要(7)位二進(jìn)制數(shù)碼。5. 在TTL門電路的一個(gè)輸入端與地之間接一個(gè)10KW電阻,則相當(dāng)于在該輸入端輸入(高)電平;在CMOS門電路的輸入端與電源之間接一個(gè)1KW電阻,相當(dāng)于在該輸入端輸入(高)電平。6晶體三極管在工作時(shí),發(fā)射結(jié)和集電結(jié)均處正向偏置,該晶體管工作在(飽和導(dǎo)通)狀態(tài)。7. 74LS138是3線8線譯碼器,譯碼為輸出低電平有效,若輸入為A2A1A0=110時(shí),輸出 應(yīng)為(10111111)。 8. 一個(gè)10位地址碼、8位輸出的ROM,其存儲(chǔ)容量為(8K 或213)。9將一個(gè)包含有32768個(gè)基本存儲(chǔ)單元的存儲(chǔ)電路設(shè)計(jì)16位為一個(gè)字節(jié)的ROM。該ROM有(11)根地址線

13、,有(16)根數(shù)據(jù)讀出線。10 .能夠?qū)崿F(xiàn)“線與”的TTL門電路叫(OC門)。 11.按邏輯功能的不同特點(diǎn),數(shù)字電路可分為(組合邏輯電路) 和(時(shí)序邏輯電路)兩大類。12.在邏輯電路中,三極管通常工作在( 飽和)和( 截止)狀態(tài)13.(406)10=(0100 0000 0110)8421BCD 14.一位數(shù)值比較器的邏輯功能是對(duì)輸入的( A和B兩個(gè))數(shù)據(jù)進(jìn)行比較,它有( YAB )、( YAB )、( YA=B )三個(gè)輸出端。15TTL集成JK觸發(fā)器正常工作時(shí),其和端應(yīng)接(高)電平。 16單穩(wěn)態(tài)觸發(fā)器有兩個(gè)工作狀態(tài)(穩(wěn)態(tài))和( 暫穩(wěn)態(tài) ),其中(暫穩(wěn)態(tài))是暫時(shí)的。 17一般ADC的轉(zhuǎn)換過(guò)程由

14、( 采樣 )、( 保持 )、( 量化 ) 和( 編碼 )4個(gè)步驟來(lái)完成。 18存儲(chǔ)器的存儲(chǔ)容量是指(存儲(chǔ)單元的總和)。某一存儲(chǔ)器的地址線為A14A0 ,數(shù)據(jù)線為D3D0 ,其存儲(chǔ)容量是( 2154 )。19電路如下圖(圖中為上升沿Jk觸發(fā)器),觸發(fā)器當(dāng)前狀態(tài)Q3 Q2 Q1為“100”,請(qǐng)問(wèn)在時(shí)鐘作用下,觸發(fā)器下一狀態(tài)(Q3 Q2 Q1)為( 011 )20.如果對(duì)160個(gè)符號(hào)進(jìn)行二進(jìn)制編碼,則至少需要(8)位二進(jìn)制數(shù)。21.組合邏輯電路任何時(shí)刻的輸出信號(hào),與該時(shí)刻的輸入信號(hào)(有關(guān));與電路原來(lái)所處的狀態(tài)(無(wú)關(guān));時(shí)序邏輯電路任何時(shí)刻的輸出信號(hào),與該時(shí)刻的輸入信號(hào)(有關(guān));與信號(hào)作用前電路原來(lái)

15、所處的狀態(tài)(有關(guān))。(答案填有關(guān)或無(wú)關(guān))22.OC門稱為(集電極開路)門,多個(gè)OC門輸出端并聯(lián)到一起可實(shí)現(xiàn)(線與)功能。23.發(fā)光二極管半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法有兩種形式:共(陰)接法和共(陽(yáng))接法。對(duì)于以上兩種接法的發(fā)光二極管數(shù)碼顯示器,應(yīng)分別采用(高)電平驅(qū)動(dòng)和(低)電平驅(qū)動(dòng)的七段顯示譯碼器。24.時(shí)序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時(shí)鐘控制分為(同步)時(shí)序電路和(異步)時(shí)序電路。25. ( 5E.C)16=( 1011110.11)2=(136.6)8=(94.75)10= (1001 0100.0111 0101)8421BCD26.邏輯函數(shù)F=+B+D的反函數(shù)=()。27.邏輯函數(shù)

16、F=A(B+C)1的對(duì)偶函數(shù)是()。28.集電極開路門的英文縮寫(OC)門,工作時(shí)必須外加(電源)和(電阻)。多個(gè)集電極開路門輸出端并聯(lián)到一起可實(shí)現(xiàn)(線與)功能。29.時(shí)序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時(shí)鐘控制分為(同步)時(shí)序電路和(異步)時(shí)序電路。30.在數(shù)字電路中,常用的計(jì)數(shù)制除十進(jìn)制外,還有(二進(jìn)制)、(八進(jìn)制)、(十六進(jìn)制)。判斷題:1TTL或非門多余輸入端可以接高電平。( )2寄存器屬于組合邏輯電路。( )3構(gòu)成一個(gè)5進(jìn)制計(jì)數(shù)器需要5個(gè)觸發(fā)器( )4石英晶體振蕩器的振蕩頻率取決于石英晶體的固有頻率。( )5當(dāng)時(shí)序邏輯電路存在有效循環(huán)時(shí)該電路能自啟動(dòng)( )6八路數(shù)據(jù)分配器的地址輸入(

17、選擇控制)端有8個(gè)。( )7關(guān)門電平UOFF是允許的最大輸入高電平。( )8最常見的單片集成DAC屬于倒T型電阻網(wǎng)絡(luò)DAC。( )9TTL門電路在高電平輸入時(shí),其輸入電流很小,74LS系列每個(gè)輸入端的輸入電流在40uA以下( )10三態(tài)門輸出為高阻時(shí),其輸出線上電壓為高電平( )11超前進(jìn)位加法器比串行進(jìn)位加法器速度慢( )12譯碼器哪個(gè)輸出信號(hào)有效取決于譯碼器的地址輸入信號(hào)( )13五進(jìn)制計(jì)數(shù)器的有效狀態(tài)為五個(gè)( )14. 施密特觸發(fā)器的特點(diǎn)是電路具有兩個(gè)穩(wěn)態(tài)且每個(gè)穩(wěn)態(tài)需要相應(yīng)的輸入條件維持。( )15. 當(dāng)時(shí)序邏輯電路存在無(wú)效循環(huán)時(shí)該電路不能自啟動(dòng)( )16.RS觸發(fā)器、JK觸發(fā)器均具有狀

18、態(tài)翻轉(zhuǎn)功能( )17. D/A的含義是模數(shù)轉(zhuǎn)換( )18構(gòu)成一個(gè)7進(jìn)制計(jì)數(shù)器需要3個(gè)觸發(fā)器( )19.兩個(gè)二進(jìn)制數(shù)相加,并加上來(lái)自高位的進(jìn)位,稱為全加,所用的電路為全加器( )20. 判斷時(shí)序邏輯電路能否自啟動(dòng)可通過(guò)判斷該電路是否存在有效循環(huán)來(lái)實(shí)現(xiàn)( )21.利用三態(tài)門可以實(shí)現(xiàn)數(shù)據(jù)的雙向傳輸。( )22.有些OC門能直接驅(qū)動(dòng)小型繼電器。( )23. 555定時(shí)器可以構(gòu)成多諧振蕩器、單穩(wěn)態(tài)觸發(fā)器、施密特觸發(fā)器。( )24. RS觸發(fā)器、JK觸發(fā)器均具有狀態(tài)翻轉(zhuǎn)功能( )25. PLA的與陣列和或陣列均可編程。( )26. 施密特觸發(fā)器電路具有兩個(gè)穩(wěn)態(tài),而單穩(wěn)態(tài)觸發(fā)器電路只具有一個(gè)穩(wěn)態(tài)( )27.

19、可用ADC將麥克風(fēng)信號(hào)轉(zhuǎn)換后送入計(jì)算機(jī)中處理時(shí)( )28.TTL輸出端為低電平時(shí)帶拉電流的能力為5mA( )29.TTL、CMOS門中未使用的輸入端均可懸空( )30.當(dāng)決定事件發(fā)生的所有條件中任一個(gè)(或幾個(gè))條件成立時(shí),這件事件就會(huì)發(fā)生,這種因果關(guān)系稱為與運(yùn)算。( )31.將代碼狀態(tài)的特點(diǎn)含義“翻譯”出來(lái)的過(guò)程稱為譯碼。實(shí)現(xiàn)譯碼操作的電路稱為譯碼器。( )32.設(shè)計(jì)一個(gè)3進(jìn)制計(jì)數(shù)器可用2個(gè)觸發(fā)器實(shí)現(xiàn)( )33.移位寄存器除了可以用來(lái)存入數(shù)碼外,還可以利用它的移存規(guī)律在一定的范圍內(nèi)構(gòu)成任意模值n的計(jì)數(shù)器。所以又稱為移存型計(jì)數(shù)器( )34. 在優(yōu)先編碼器電路中允許同時(shí)輸入2個(gè)以上的編碼信號(hào)( )

20、35.施密特觸發(fā)器電路具有兩個(gè)穩(wěn)態(tài),而多諧振蕩器電路沒有穩(wěn)態(tài)( )36.DRAM需要定期刷新,因此,在微型計(jì)算機(jī)中不如SRAM應(yīng)用廣泛( )證明題:1、利用基本定律和運(yùn)算規(guī)則證明邏輯函數(shù)證明:左邊 =右邊原式得證2、利用基本定律和運(yùn)算規(guī)則證明邏輯函數(shù)證明:左邊= = = =右邊原式得證3、利用基本定律和運(yùn)算規(guī)則證明邏輯函數(shù)證明:左邊= = = =右邊原式得證4、利用基本定律和運(yùn)算規(guī)則證明邏輯函數(shù)證明:左邊右邊=左邊原式得證化簡(jiǎn)題:1、用卡諾圖化簡(jiǎn)函數(shù)解:卡諾圖 化簡(jiǎn)得: 2、用卡諾圖化簡(jiǎn)函數(shù)解:卡諾圖 化簡(jiǎn)得:3、用卡諾圖化簡(jiǎn)下列函數(shù)解:卡諾圖: 化簡(jiǎn)得:4、用卡諾圖化簡(jiǎn)具有約束項(xiàng)的邏輯函數(shù)解

21、:卡諾圖 化簡(jiǎn)得:5、用公式法化簡(jiǎn)解:6、用公式法化簡(jiǎn)解:簡(jiǎn)答題:1、試說(shuō)明施密特觸發(fā)器的工作特點(diǎn)和主要用途。答:施密特觸發(fā)器的工作特點(diǎn):電路有兩個(gè)穩(wěn)態(tài),是一個(gè)雙穩(wěn)態(tài)電路,但這兩個(gè)穩(wěn)態(tài)是靠觸發(fā)信號(hào)維持的;電路狀態(tài)的翻轉(zhuǎn)由外觸發(fā)信號(hào)的電平?jīng)Q定,當(dāng)外加觸發(fā)信號(hào)高于上限觸發(fā)電平UT+值時(shí)電路處于一種穩(wěn)態(tài),低于下限觸發(fā)電平UT-值時(shí)電路處于另一種穩(wěn)態(tài)。電路存在回差特性或叫滯回特性。通常用于波形變換與整形、接口電路、幅度鑒別、和方波發(fā)生器。2、什么叫單穩(wěn)態(tài)觸發(fā)器?單穩(wěn)態(tài)觸發(fā)器和雙穩(wěn)態(tài)觸發(fā)器的區(qū)別是什么?答:具有穩(wěn)態(tài)和暫穩(wěn)態(tài)兩種工作狀態(tài),在外界觸發(fā)脈沖的作用下,能從穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài),暫穩(wěn)態(tài)維持一段時(shí)間后,

22、再自動(dòng)返回穩(wěn)態(tài),暫穩(wěn)態(tài)持續(xù)時(shí)間由電路參數(shù)決定。雙穩(wěn)態(tài)觸發(fā)器具有兩種穩(wěn)定狀態(tài),外界觸發(fā)脈沖消失后,保持狀態(tài)不變。3、什么是量化單位和量化誤差,減小量化誤差可以從那幾個(gè)方面考慮?答:量化過(guò)程中所取得最小的數(shù)量單位叫做量化單位。因?yàn)槟M電壓是連續(xù)的,就不一定能被量化單位整除,在量化過(guò)程中就會(huì)引入誤差,稱為量化誤差。減小量化誤差可以將量化電平取在量化范圍的中間值。作圖題:1、555定時(shí)器的功能表如下, (1)該555定時(shí)器組成什么電路,(2)在題中輸出電壓的坐標(biāo)上畫出相應(yīng)的輸出波形。 555定時(shí)器功能表輸入輸出TH(uI1)(uI2)uOVTD狀態(tài)0低導(dǎo)通1VCCVCC高截止1VCC不變不變1VCCV

23、CC低導(dǎo)通 1 3VCC 2 3VCC uo 0 0 uI ttDTHOUTVSSCO0.01FuOuIVCC12345678VCC555 解(1)該電路組成施密特觸發(fā)器。 1 3VCC 2 3VCC uo 0 0 uI tt(2)波形圖2、用74LS161來(lái)構(gòu)成一個(gè)十二進(jìn)制計(jì)數(shù)器。74LS161的功能表如圖所示。 解:用異步清零端歸零S121100 或:用同步置數(shù)端歸零S111011 3、8選1數(shù)據(jù)選擇器CC4512的邏輯功能如表所示,電路符號(hào)如圖所示。用CC4512和最少的門電路產(chǎn)生如下邏輯函數(shù),要求變量ABC分別對(duì)應(yīng)于A2A1A0輸入管腳,寫出分析過(guò)程并在CC4512的框圖上畫出電路連接

24、圖。CC4512功能表DISINHA2A1A0Y00000D000001D100010D200011D300100D400101D500110D600111D70101高阻解:邏輯函數(shù): 電路連接圖為:4、555定時(shí)器構(gòu)成單穩(wěn)態(tài)觸發(fā)器如圖(a)所示,輸入如圖(b)。畫出電容電壓uc和輸出波形uo。 (a) (b)解:5、用5124的RAM擴(kuò)展組成一個(gè)2K8位的存儲(chǔ)器需要幾片RAM,試畫出它們的連接圖,用圖示RAM實(shí)現(xiàn)。答:需要8片RAM,同時(shí)做字?jǐn)U展和位擴(kuò)展。連接圖如下:6、試用3線8線譯碼器74LS138和門電路實(shí)現(xiàn)下列函數(shù)。 Z(A、B、C)=AB+C STAY7Y5Y6Y4Y3Y2Y1Y

25、0STCSTBA0A1A274LS138 解:Z(A、B、C)=AB+C=AB(C+)+C(B+)=ABC+AB+BC+C= m 1+ m 3+ m 6+ m 7STAY7Y5Y6Y4Y3Y2Y1Y0STCSTBA0A1A274LS138CBA“1”&Z= 分析題:1、分析下列電路是幾進(jìn)制的計(jì)數(shù)器。 1 1解: 驅(qū)動(dòng)方程: 輸出方程: 特性方程: (1分)狀態(tài)方程: 狀態(tài)表 CP 00011011Q1Q0/Z/1/0/0/0Z0 0 0 0 101 0 1 1 002 1 0 1 103 1 1 0 01狀態(tài)表或狀態(tài)圖任寫一種即可歸納上述分析結(jié)果可知,該時(shí)序電路為同步4進(jìn)制加法計(jì)數(shù)器。2、分析

26、圖示電路的邏輯功能。要求有明確的分析步驟和過(guò)程并畫出時(shí)序圖。解:驅(qū)動(dòng)方程: 代入特性方程求狀態(tài)方程: 輸出方程: 求各觸發(fā)器的次態(tài)和電路輸出,列狀態(tài)轉(zhuǎn)換圖或狀態(tài)轉(zhuǎn)換表 時(shí)序圖: 電路功能:有效循環(huán)的6個(gè)狀態(tài)分別是05這6個(gè)十進(jìn)制數(shù)字的格雷碼,并且在時(shí)鐘脈沖CP的作用下,這6個(gè)狀態(tài)是按遞增規(guī)律變化的,即:000001011111110100000所以這是一個(gè)用格雷碼表示的六進(jìn)制同步加法計(jì)數(shù)器。當(dāng)對(duì)第6個(gè)脈沖計(jì)數(shù)時(shí),計(jì)數(shù)器又重新從000開始計(jì)數(shù),并產(chǎn)生輸出Y1。 3、分析圖示電路的邏輯功能。解:此電路為同步時(shí)序電路驅(qū)動(dòng)方程: ;輸出方程:T觸發(fā)器的特性方程:將各觸發(fā)器的驅(qū)動(dòng)方程代入,即得電路的狀態(tài)

27、方程:通過(guò)計(jì)算得狀態(tài)表或狀態(tài)轉(zhuǎn)換圖:時(shí)序圖:電路功能:由狀態(tài)圖可以看出,當(dāng)輸入X 0時(shí),在時(shí)鐘脈沖CP的作用下,電路的4個(gè)狀態(tài)按遞增規(guī)律循環(huán)變化,即:0001101100當(dāng)X1時(shí),在時(shí)鐘脈沖CP的作用下,電路的4個(gè)狀態(tài)按遞減規(guī)律循環(huán)變化,即:0011100100可見,該電路既具有遞增計(jì)數(shù)功能,又具有遞減計(jì)數(shù)功能,是一個(gè)2位二進(jìn)制同步可逆計(jì)數(shù)器。4、8位A/D輸入滿量程為10V,當(dāng)輸入下列電壓時(shí),數(shù)字量的輸出分別為多少?(1)3.5V;(2)7.08V;(3)5.97V解:(1)第一步,當(dāng)V時(shí),因?yàn)閂NVX,所以取d7=0,存儲(chǔ)。第二步,當(dāng)V時(shí),因?yàn)閂NVX,所以取d5=0,存儲(chǔ)。如此重復(fù)比較下

28、去,經(jīng)過(guò)8個(gè)時(shí)鐘脈沖周期,轉(zhuǎn)換結(jié)束,最后得到A/D轉(zhuǎn)換器的轉(zhuǎn)換結(jié)果d7d0=01011001,則該數(shù)字所對(duì)應(yīng)的模擬輸出電壓為同理(2)10110101=7.0703125V(3)10011000=5.9375V設(shè)計(jì)題:1、用與非門設(shè)計(jì)一個(gè)舉重裁判表決電路。設(shè)舉重比賽有3個(gè)裁判,一個(gè)主裁判和兩個(gè)副裁判。杠鈴?fù)耆e上的裁決由每一個(gè)裁判按一下自己面前的按鈕來(lái)確定。只有當(dāng)兩個(gè)或兩個(gè)以上裁判判明成功,并且其中有一個(gè)為主裁判時(shí),表明成功的燈才亮。解:設(shè)主裁判為變量A,副裁判分別為B和C;表示成功與否的燈為Y,根據(jù)邏輯要求列出真值表 邏輯表達(dá)式 Y=AB+AC 邏輯電路圖: 2、設(shè)計(jì)一個(gè)按自然態(tài)序變化的7進(jìn)

29、制同步加法計(jì)數(shù)器,計(jì)數(shù)規(guī)則為逢七進(jìn)一,產(chǎn)生一個(gè)進(jìn)位輸出。解:建立原始狀態(tài)圖: 因需用3位二進(jìn)制代碼,選用3個(gè)CP下降沿觸發(fā)的JK觸發(fā)器,分別用FF0、FF1、FF2表示。由于要求采用同步方案,故時(shí)鐘方程為: 卡諾圖化簡(jiǎn)輸出方程: 卡諾圖化簡(jiǎn)狀態(tài)方程: 的卡諾圖也可以畫在一個(gè)圖中。得狀態(tài)方程:比較特性方程: 得驅(qū)動(dòng)方程: 、 、 、電路圖并檢查電路能否自啟動(dòng): 將111代入狀態(tài)方程得次態(tài)為000,此電路能自啟動(dòng)。3、已知某同步時(shí)序電路狀態(tài)圖如圖所示,完成以下任務(wù)(1)做出狀態(tài)轉(zhuǎn)換真值表;(2)若用D觸發(fā)器實(shí)現(xiàn),請(qǐng)求出相應(yīng)的激勵(lì)方程;(3)求輸出方程;(4)畫出電路圖;解:(1)狀態(tài)轉(zhuǎn)換真值表(2

30、)求激勵(lì)方程(3)求輸出方程(4)作電路圖(略)4、旅客列車分為特快、直快和慢車,它們的優(yōu)先順序?yàn)樘乜?、直快、慢車。在同一時(shí)間里,只能有一趟列車從車站開出,即只能給出一個(gè)開車信號(hào),試設(shè)計(jì)一個(gè)滿足上述要求的組合邏輯電路。解:根據(jù)命題要求該排隊(duì)電路有三個(gè)輸入端A、B、C和三個(gè)輸出端X、Y、Z,用A、B、C分別代表特快、直快、慢車,三趟車的開出信號(hào)分另為X、Y、Z。輸入變量的賦值是:1表示該車要求開出,0表示該不要求開出,輸出函數(shù)的賦值是:1表示列車可以開出,0表示不準(zhǔn)列車開出。列出該題真值表如下: ABCXYZ000000001001010010011010100100101100110100111100用卡諾圖化簡(jiǎn)后寫出邏輯函數(shù)表達(dá)式: 根據(jù)表達(dá)式畫出的邏輯圖如圖所示: 24

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!