《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)

上傳人:仙*** 文檔編號(hào):27536316 上傳時(shí)間:2021-08-18 格式:DOC 頁(yè)數(shù):17 大?。?52.04KB
收藏 版權(quán)申訴 舉報(bào) 下載
《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)_第1頁(yè)
第1頁(yè) / 共17頁(yè)
《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)_第2頁(yè)
第2頁(yè) / 共17頁(yè)
《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)_第3頁(yè)
第3頁(yè) / 共17頁(yè)

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁(yè)未讀,繼續(xù)閱讀

資源描述:

《《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《《電工電子綜合》課程設(shè)計(jì)說(shuō)明書三種圖案霓虹燈控制器設(shè)計(jì)(17頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 學(xué) 號(hào): 課 程 設(shè) 計(jì) 題 目 三種圖案霓虹燈控制器設(shè)計(jì) 學(xué) 院 自動(dòng)化學(xué)院 班 級(jí) 姓 名 指導(dǎo)教師 2011 年 6 月 26 日 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 課程設(shè)計(jì)任務(wù)書 學(xué)生姓名: 專業(yè)班級(jí): 自動(dòng)化 指導(dǎo)教師: 工作單位: 自動(dòng)化學(xué)院 題 目: 三種圖案霓虹燈控制器設(shè)計(jì) 初始條件: 1 運(yùn)用所學(xué)的模擬電路和數(shù)字電路等知識(shí); 2 用到的元件:實(shí)驗(yàn)板、電源、連接導(dǎo)線、74 系列芯片、555 芯片等。 要求完成的主要任務(wù): 1 現(xiàn)有 4 只彩燈,紅綠藍(lán)黃,試設(shè)計(jì)控制器,要求彩燈能實(shí)現(xiàn)如下追逐 圖案,彩燈控制器的三種圖案及其狀態(tài)轉(zhuǎn)換如下

2、所示: 2 搖擺狀態(tài) 01011010,重復(fù) 6 次。 3 暗點(diǎn)循環(huán) 01111011110111100111這樣重復(fù)循環(huán) 3 次。 4 逐個(gè)點(diǎn)亮,逐個(gè)熄滅, 000010001100111011110111001100010000這樣重復(fù)循環(huán) 2 次。 5 霓虹燈控制工作狀態(tài)按照上述 2 至 4 步自動(dòng)重復(fù)循環(huán)。時(shí)間間隔為 1 秒。 6 嚴(yán)格按照課程設(shè)計(jì)說(shuō)明書要求撰寫課程設(shè)計(jì)說(shuō)明書。 時(shí)間安排: 第 1 天 下達(dá)課程設(shè)計(jì)任務(wù)書,根據(jù)任務(wù)書查找資料; 第 24 天 進(jìn)行方案論證,軟件模擬仿真并確定設(shè)計(jì)方案; 第 5 天 提交電路圖,經(jīng)審查后領(lǐng)取元器件; 第 68 天 組裝電路并調(diào)試,檢查錯(cuò)誤并

3、提出問(wèn)題; 第 911 天 結(jié)果分析整理,撰寫課程設(shè)計(jì)報(bào)告,驗(yàn)收調(diào)試結(jié)果; 第 1214 天 補(bǔ)充完成課程設(shè)計(jì)報(bào)告和答辯。 指導(dǎo)教師簽名: 2011 年 6 月 26 日 系主任(或責(zé)任教師)簽名: 2011 年 6 月 26 日 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 1 - -頁(yè) 目錄 引言 .- 1 - 1 設(shè)計(jì)意義及要求 .- 2 - 1.1 設(shè)計(jì)意義 .- 2 - 1.2 設(shè)計(jì)要求 .- 2 - 2 方案設(shè)計(jì) .- 3 - 2.1 設(shè)計(jì)思路 .- 3 - 2.2 設(shè)計(jì)方案 .- 4 - 2.3 方案比較 .- 5 - 3 部分電路設(shè)計(jì) .- 6 - 3.1 計(jì)數(shù)器 .- 6

4、- 3.2 譯碼器 .- 8 - 4 調(diào)試與檢測(cè) .- 10 - 5 體會(huì)與總結(jié) .- 11 - 參考文獻(xiàn) .- 12- 本科生課程設(shè)計(jì)成績(jī)?cè)u(píng)定表 .- 13 - 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 1 - -頁(yè) 引言 在日常生活中,霓虹燈已經(jīng)遍布所有城市和很多鄉(xiāng)鎮(zhèn)的大街,每當(dāng)夜幕降臨,華 燈初上,五顏六色的霓虹燈就把城市裝扮的特別美麗。 如今,撩人眼球、光彩耀目的霓虹燈已成為城市繁華程度的度量,也是商業(yè)建筑的一 大廣告、招牌。 把一定數(shù)量的受控彩燈經(jīng)過(guò)組合,可營(yíng)造出多彩、流水般的動(dòng)感場(chǎng)景,這對(duì)增強(qiáng) 節(jié)日喜慶氣氛、美化日常生活環(huán)境具有良好的效果。 霓虹燈電路可由數(shù)字邏輯電路或者編

5、程控制(目前社會(huì)上常用 Visual Basic 編程語(yǔ) 言來(lái)編寫相應(yīng)的程序以控制霓虹燈的花樣) 。而聯(lián)系實(shí)際和運(yùn)用所學(xué)的數(shù)字或模擬電子 技術(shù)知識(shí),學(xué)生可設(shè)計(jì)出各種霓虹燈控制電路。 此次課程設(shè)計(jì)作為實(shí)踐教學(xué)的一個(gè)重要環(huán)節(jié),可達(dá)到提高學(xué)生的創(chuàng)新能力的作用。 通過(guò)課程設(shè)計(jì),學(xué)生不僅能加深對(duì)課本知識(shí)的理解,還能鍛煉自己的動(dòng)手能力和提高 解決問(wèn)題的能力。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 2 - -頁(yè) 1 設(shè)計(jì)意義及要求 1.1 設(shè)計(jì)意義 霓虹燈在生活中的發(fā)展和應(yīng)用非常迅速,而彩燈的種類繁多,變化多樣,因此設(shè) 計(jì)彩燈控制電路有非常重大的實(shí)際意義。經(jīng)本學(xué)期及上學(xué)期對(duì)模擬、數(shù)字電子技術(shù)的

6、學(xué)習(xí),學(xué)校安排了這次的電工電子實(shí)習(xí),目的在與讓學(xué)生綜合運(yùn)用所學(xué)的知識(shí),對(duì)各 基本器件的運(yùn)用更加熟練,也更好的鍛煉學(xué)生的設(shè)計(jì)思維和動(dòng)手設(shè)計(jì)能力。 同學(xué)通過(guò)此次的設(shè)計(jì)多個(gè)方案的設(shè)計(jì),可以將所學(xué)知識(shí)轉(zhuǎn)化為實(shí)物,得到更多種 類、更多花樣的彩燈控制電路,在收獲成就感和滿足感的同時(shí),可以對(duì)設(shè)計(jì)的彩燈花 樣更具有創(chuàng)新意識(shí)。 1.2 設(shè)計(jì)要求 初始條件: 1 運(yùn)用所學(xué)的模擬電路和數(shù)字電路等知識(shí); 2 元件:實(shí)驗(yàn)板、電源、連接導(dǎo)線、74 系列芯片、555 芯片等。 要求完成的主要任務(wù): 14 只彩燈,紅綠藍(lán)黃,試設(shè)計(jì)控制器,要求彩燈能實(shí)現(xiàn)如下追逐圖案, 彩燈控制器的三種圖案及其狀態(tài)轉(zhuǎn)換如下所示: 2搖擺狀態(tài) 0

7、1011010,重復(fù) 6 次。 3暗點(diǎn)循環(huán) 01111011110111100111這樣重復(fù)循環(huán) 3 次。 4逐個(gè)點(diǎn)亮,逐個(gè)熄滅, 000010001100111011110111001100010000這樣重復(fù)循環(huán) 2 次。 5霓虹燈控制工作狀態(tài)按照上述 2 至 4 步自動(dòng)重復(fù)循環(huán)。時(shí)間間隔為 1 秒。 嚴(yán)格按照課程設(shè)計(jì)說(shuō)明書要求撰寫課程設(shè)計(jì)說(shuō)明書。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 3 - -頁(yè) 2 方案設(shè)計(jì) 2.1 設(shè)計(jì)思路 四盞燈,每盞燈每次只要兩種狀態(tài),亮或滅,三種花樣一共 40 種狀態(tài), 將這 40 種狀態(tài)按次序依次分配到三片譯碼器 74HC154 的輸出端,每盞燈

8、各亮 23 次, 將每種狀態(tài)中亮的燈與對(duì)應(yīng)狀態(tài)的選擇器輸出端通過(guò)與非門相連,通過(guò)兩片計(jì)數(shù)器 74160 控制這三個(gè)譯碼器,一片通過(guò)控制地址輸入端使譯碼器的輸出端從 D0 到 D15 依 次輸出,兩一片則通過(guò)控制譯碼器使能端使其依次工作。 原理框圖: 數(shù) 據(jù) 選 擇 器 圖 2-1 利用譯碼器實(shí)現(xiàn)的原理 :小燈的三種花樣可以分別通過(guò)三個(gè)計(jì)數(shù)器實(shí)現(xiàn),完成第一種花樣需要 十二秒,第二種也需要十二秒,第三種需要十六秒,三種花樣全部完成總共四十秒, 而每種花樣的循環(huán)次數(shù)也可以通過(guò)計(jì)數(shù)器來(lái)控制。 四盞燈(紅;綠;藍(lán);黃) 數(shù) 據(jù) 選 擇 器 計(jì)數(shù)器 計(jì)數(shù)器 數(shù) 據(jù) 選 擇 器 武漢理工大學(xué)電工電子綜合課程

9、設(shè)計(jì)說(shuō)明書 第- - 4 - -頁(yè) 2.2 設(shè)計(jì)方案 原理圖: A23BC1D20E1182901234567891010321413546517U74HC154A23BC1D20E1182901234567891010321413546517U374HC154A23BC1D20E1182901234567891010321413546517U74HC154 D2LE-GREN D4LE-YLOWD3LE-BLUED1LE-RD 1 U5NAD_12 U6NAD_12 U7NAD_12 U8NAD_12 U9NAD_12 U10NAD_2 U1NAD_2 U12NAD_ D03Q0141432

10、522D3631RCO5ENP7T10CLK2OAD9MR1U274160 D03Q0141432522D3631RCO5ENP7T10CLK2OAD9MR1U15746 231U16:A7402 564U16:B7402 8910U16:C7402 1213U16:D7402 34U1:B740 56U13:C740 1312:D740 110U3:E740 1 12U3:A740 98U13:F740 圖 2-3 利用譯碼器實(shí)現(xiàn)的電路圖 把三種花樣的每一個(gè)狀態(tài)都與譯碼器的輸出端依次對(duì)應(yīng),利用計(jì)數(shù)器的計(jì)數(shù) 功能將每個(gè)狀態(tài)依次輸出,再通過(guò)與非門將輸出低電平變?yōu)楦唠娖?,小燈就能按?要求的花樣依

11、次亮起來(lái)。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 5 - -頁(yè) 原理圖: D0314D2536 SR2L7CK1S09110 MR Q01514Q21332U1 74194 10 12U2:A7407 D015 Q031 12D210 Q2639 37UP5 TCU12 DN4 D3PL1MR4 U3 741921 34U2:B7407 12U5:A740 D0314D2536 SR2L7CK1S09110 MR Q01514Q21332U2 74194 01 D015 Q031 12D210 Q2639 37 UP5 TCU12DN4 D3PL1MR4 U4 74192 2U3:

12、A7407 134U3:B7407 56U6:C740 D1LED-REDD2 LED-GREND3 LED-BLUED4 LED-YELOW D015 Q031 12D210 Q2639 37 UP5 TCU12DN4 D3PL1MR4 U6 74192 D0314D2536 SR2L7CK1S09110 MR Q01514Q21332 U5 7419412U12:A740 1 2 3U8:A7408 12U9:A7407 12U10:A740 1213 12U7:A7427 345 6U:B7427 910 8U7:C7427 1213 12U1:A7427 3 4U10:B740 5 6

13、U10:C740 13 12U10:D740 1 10U10:E7409 10 8U8:C7408 01213 1 U8:D7408 0 R4 DC7Q3 GND1 VC8 TR2 TH6CV5 U13 5 +15V C21uF R15.7k 9 8U10:F740 R224.3kC1 10uF 2.3 方案比較 兩種方案相比,第一種原理思路簡(jiǎn)單,所用芯片較少,小燈閃爍效果穩(wěn)定,但連 線較多,若連成實(shí)物則過(guò)于復(fù)雜,方案二需要的芯片雖然較多,但整體連線不復(fù)雜, 適合做成實(shí)物。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 6 - -頁(yè) 3 部分電路設(shè)計(jì) 3.1 計(jì)數(shù)器 1 D03 Q0 14

14、 D14 Q1 13 D25 Q2 12 D36 Q3 11 RCO 15 ENP7ENT 10 CLK2LOAD9MR1 U274160 D03 Q0 14 D14 Q1 13 D25 Q2 12 D36 Q3 11 RCO 15 ENP7ENT 10 CLK2LOAD9MR1 U1574161 0 左邊計(jì)數(shù)器的 Q1Q2Q3Q4 端分別與三個(gè)譯碼器的地址輸入端 ABCD 依次相連,使 得譯碼器輸出端依次輸出信號(hào),進(jìn)位輸出端作為右邊的時(shí)鐘信號(hào),使右邊的計(jì)數(shù)器每 隔 16 秒計(jì)數(shù)一次,右邊譯碼器的 Q1Q2 端分別接到譯碼器的使能端,其中 Q1 經(jīng)過(guò)一 個(gè)非門與第二個(gè)譯碼器的 E1 端相連,Q

15、2 經(jīng)過(guò)一個(gè)非門與第三個(gè)譯碼器的 E2 端相連, 以此來(lái)控制三個(gè)數(shù)據(jù)選擇的工作順序。 管腳圖: 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 7 - -頁(yè) 功能表 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 8 - -頁(yè) 3.2 譯碼器 A23B2C21D20 E118E219 0112233445566778899101011131214131514161517U174HC154 A23B2C21D20 E118E219 0112233445566778899101011131214131514161517U374HC154 A23B2C21D20 E118E219 0112233

16、445566778899101011131214131514161517U474HC154 1 2U13:A7404 9 8U13:F7404 引腳圖: 真值表: 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 9 - -頁(yè) 當(dāng)四十種狀態(tài)全部完成時(shí),將下一個(gè)輸出端與計(jì)數(shù)器的清零端相連,使其重新開 始循環(huán)。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 10 - - 頁(yè) 4 調(diào)試與檢測(cè) 通過(guò) Proteus 仿真軟件進(jìn)行仿真,發(fā)現(xiàn)設(shè)計(jì)方案中發(fā)現(xiàn)的一些問(wèn)題: (1)開始選用的計(jì)數(shù)器是 74LS192,但在仿真時(shí)發(fā)現(xiàn)由于某種原因 192 只能進(jìn)行十 進(jìn)制計(jì)數(shù),經(jīng)過(guò)重新調(diào)試后將 74LS192

17、用 74160 代替,能夠進(jìn)行十六進(jìn)制計(jì)數(shù)。 (2)花樣循環(huán)時(shí),每到第 16 種花樣就會(huì)發(fā)生錯(cuò)誤,經(jīng)過(guò)認(rèn)真觀察循環(huán)過(guò)程發(fā)現(xiàn), 當(dāng)右邊計(jì)數(shù)器計(jì)數(shù)到 1111 時(shí),其進(jìn)位端同時(shí)由 0 變?yōu)?1,給左邊計(jì)數(shù)器一個(gè)上升沿信 號(hào),Q0 由 0 變?yōu)?1,再經(jīng)過(guò)一個(gè)非門使其 E1 端使能,此時(shí)第二個(gè)譯碼器開始工作,計(jì) 數(shù)器的 1111 信號(hào)實(shí)際上是輸入到第二個(gè)譯碼器,所以第 16 個(gè)狀態(tài)應(yīng)該接到第二個(gè)譯 碼器的 Y16 端,經(jīng)過(guò)調(diào)整后,能按照要求花樣進(jìn)行循環(huán)。 (3)Proteus 仿真軟件中有許多管腳名字都很相似的元件,但有的元件在插入后發(fā) 現(xiàn)有無(wú)法使用的情況,需要用別的元件代替。 (4)Proteus

18、 仿真軟件中沒(méi)有或門,當(dāng)要用到或門時(shí),必須要用與非門和非門同時(shí) 使用來(lái)代替或門,增加的原理圖的復(fù)雜程度。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 11 - - 頁(yè) 5 體會(huì)與總結(jié) 剛拿到題目時(shí),感覺(jué)題目很簡(jiǎn)單,三個(gè)花樣分別在紙上畫好電路圖,簡(jiǎn)單學(xué)習(xí)了 一下如何使用 Protues 后就開始仿真,但是不知道為什么就是無(wú)法出現(xiàn)預(yù)期效果,檢查 電路圖多次都沒(méi)有問(wèn)題,為什么仿真會(huì)失敗呢?在和別的同學(xué)請(qǐng)教討論后才知道,仿 真要想成功,不能只考慮理想情況,電路在仿真時(shí)會(huì)出現(xiàn)多種不穩(wěn)定情況,需要不斷 地調(diào)試。 三種花樣反別成功后再合并還需要加入防抖的元件,重新調(diào)試。 由于選的題目相對(duì)復(fù)雜,所以小組

19、方案花了兩天多的時(shí)間才做好。接著就是做自 己的方案,由于小組成員的方案都不能一樣,所以想新的思路尤為重要,而我們目前 所學(xué)的知識(shí)全部都來(lái)自課本,在思考新方法的時(shí)候,必須不斷地看課本,看實(shí)驗(yàn)指導(dǎo) 書,在這個(gè)過(guò)程中,無(wú)疑會(huì)使自己對(duì)數(shù)電的知識(shí)掌握的更加牢固,因?yàn)槿绻麑?duì)各種芯 片的功能和使用不熟悉,很難完成課程設(shè)計(jì)。由于是第一次使用 Protues 仿真,過(guò)程中 會(huì)不時(shí)的出現(xiàn)各種問(wèn)題,眼看別的小組幾個(gè)方案都成功了,心里很著急,曾幾次想過(guò) 放棄,但最終堅(jiān)持下來(lái),并且成功完成課程設(shè)計(jì)??粗约核膫€(gè)彩燈按照要求一次閃 爍,心里的成就感特別強(qiáng)烈。 盡管設(shè)計(jì)原理圖的過(guò)程曲折艱難,但最終靠著小組成員的共同努力,大

20、家都成功 的做出了自己的方案,這讓我知道了團(tuán)隊(duì)合作的重要性。有了同伴的鼓勵(lì)與幫助,自 己才能學(xué)得更快更多,但這并不代表自己就可以什么都不做,只靠同伴,這樣自己什 么都學(xué)不到。通過(guò)這次課設(shè),不僅讓自己對(duì)數(shù)電知識(shí)有了更深入形象的了解與體會(huì), 更加鍛煉了自己一向薄弱的動(dòng)手能力,而且在以前學(xué)過(guò) protell99 電氣 CAD 等繪圖仿真 軟件的基礎(chǔ)上又學(xué)會(huì)了一種仿真軟件Protues。而在撰寫課程設(shè)計(jì)說(shuō)明書時(shí)發(fā)現(xiàn), 要想把芯片的工作原理,電路圖的工作過(guò)程寫清楚也不是那么容易的,而說(shuō)明書的高 要求還要對(duì) word 有一定的基礎(chǔ),這無(wú)形中又是對(duì)自己的一次鍛煉。 總之,經(jīng)過(guò)這次的課程設(shè)計(jì),自己無(wú)論是在專業(yè)

21、知識(shí)方面課外知識(shí)方面還是團(tuán)隊(duì) 合作方面都有了很大的收獲。 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 12 - - 頁(yè) 參考文獻(xiàn): 1 康華光.電子技術(shù)基礎(chǔ)-數(shù)字部分(第五版) ,高等教育出版,2006.1 2 郭少勇.實(shí)驗(yàn)電子技術(shù),石油出版社 3 王蘭君.新編電工使用電路 500 例,河南科學(xué)技術(shù)出版社 4 王源.使用電路基礎(chǔ),機(jī)械工業(yè)出版社 5 鐘誼.電子線路實(shí)戰(zhàn),科學(xué)出版社 6 何緒芃,曾發(fā)柞.脈沖與數(shù)字電路 .成都:電子科技大學(xué)出版社,2001.1 7 祁存榮,陳偉.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)(數(shù)字部分) 武漢理工大學(xué)教材中心 8 鄧勇.數(shù)字電路設(shè)計(jì)完全手冊(cè).北京: 國(guó)防工業(yè)出版社。 9

22、梁宗善.新型集成電路的應(yīng)用-電子技術(shù)基礎(chǔ)課程設(shè)計(jì),華中理工大學(xué)出版社, 2007.12 10 王兆安,黃俊.電力電子技術(shù).北京:機(jī)械工業(yè)出版社,2000 11 蘇玉剛,陳渝光.電力電子技術(shù).重慶:重慶大學(xué)出版社,2003.4 12 張葛祥.MATLAB 仿真技術(shù)與應(yīng)用.北京:清華大學(xué)大學(xué)出版社,2003 13 高吉祥. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì). 電子工業(yè)出版社,2002 14 王興亮主編.現(xiàn)代音響和調(diào)音技術(shù).西安電子科技大學(xué)出版,2006 15 包亞萍主編.數(shù)字邏輯設(shè)計(jì)與數(shù)字電路實(shí)驗(yàn)技術(shù).中國(guó)水利出版社,2003 16 朱寶華主編.電子測(cè)試與實(shí)驗(yàn).清華大學(xué)出版社,2004.4 17 陳永甫

23、主編.數(shù)字電路基礎(chǔ)及快速識(shí)圖.人民郵電出版社,2006.5 18 周惠朝.常用電子元件及典型應(yīng)用.電子工業(yè)出版社,2005 19 劉修文主編.實(shí)用電子電路設(shè)計(jì)制作 300 例.中國(guó)電力出版社,2005 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 13 - - 頁(yè) 本科生課程設(shè)計(jì)成績(jī)?cè)u(píng)定表 姓 名 李靜 性 別 女 專業(yè)、班級(jí) 自動(dòng)化學(xué)院自動(dòng)化 0904 課程設(shè)計(jì)題目: 課程設(shè)計(jì)答辯或質(zhì)疑記錄: 成績(jī)?cè)u(píng)定依據(jù): 設(shè)計(jì)方案 與內(nèi)容 (30 分) 制作與調(diào)試 (20 分) 說(shuō)明書內(nèi)容 與規(guī)范程度 (30 分) 答 辯 (10 分) 學(xué)習(xí)態(tài)度 與考勤 (10 分) 總 分 (100 分) 最終評(píng)

24、定成績(jī)(以優(yōu)、良、中、及格、不及格評(píng)定) 武漢理工大學(xué)電工電子綜合課程設(shè)計(jì)說(shuō)明書 第- - 14 - - 頁(yè) 指導(dǎo)教師簽字: 2011 年 7 月 12 日 ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2tLF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZ

25、qPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZ

26、FTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqG

27、nsyIB2ilq3SI QrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ ut2ApOdfXXc02GyBKsKCWw97MrqqWhoj5TL15Zt6jIPYytYCummtARp3v1N5luizi3xh3BhWYreKO8d9g7nmZQoWPJeTLDrw08gVS8DsDQQYGC3cE7moO2t

28、LF0Jf1gK74IUXyBmtIVR97CkrfVqULT5fn2t6MpJR6rbzVPSortZvIj5NB5ndVvSr4iWr1TwLFKgLSPzuhRjQ3CmZU98eUOuijdLSZqPmvrw9zKupxf8WFUG9l2G9277g2rTipa1YpCZEuqxpKBhtVDCooQOzxUz3vJrZmOcijyM62zchmeooTYes8EBMm932tbz2Yo09RtsZEYS8Zrd2Yktj8l6jEAzVAjnfbtryLvsm6oFbfToXVRFFn7OwIYgJlamkUNXJYbz5Rrb7r4VsuR9zpfZFMfsjhcfCA37lNW2

29、VVLRKN7R8psz1BN6oRic5hU5Z6HCxAYqyNPOG8duYbAwqSl20CSg06Dh2sM8HLtgPkIcSkrgOPDpuHBj1LmPk7lYdvC6NNMwL3fwhZFTFVYAARY7lHSSxJ10V3pH3Y19BxYR77Ib7CpZSu2tijqe3hKqkKAu9KSkCpHKXUIKvvyJZpg2YijRkqfbGgOvyqKuxNWI9oMnJtt6QilZxtyrF7d20FbmabcfiixrQKUsVNXBPPFUXyQ1fJSKFSUbkgs2DUVQC9sz4JkbgN4Qqv66pyoARjurNFJ3TxyfclZiEePtwFJthphEipDFNqnR2HjQKV2DzWtMPDJQkBcXmovdsjqCTJagjMdLsKPgaD2s0H0vmZGAHt36gyUEZ7UmANk1ndREuBeqdgrx0venqGnsyIB2ilq3SI QrNL4m56t7Z8Y8da5K0KUpn5Nzg4JvjdtfFHyt82AoGQkXo4VBLmLEiy2P7HtHBho07rCfttxodYDPPdtQsO7wxD0J6fKKlGm4woDzplhtRr2XgqN13hqy59zU1GegDyQniHNTaVSieueFQcYfUCJwd3vk5I7YKmhunDmIZ

展開閱讀全文
溫馨提示:
1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!