《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)

上傳人:仙*** 文檔編號(hào):28068409 上傳時(shí)間:2021-08-23 格式:DOC 頁數(shù):32 大?。?86KB
收藏 版權(quán)申訴 舉報(bào) 下載
《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)_第1頁
第1頁 / 共32頁
《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)_第2頁
第2頁 / 共32頁
《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)_第3頁
第3頁 / 共32頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《《單片機(jī)原理及應(yīng)用》課程設(shè)計(jì)急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)(32頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、單片機(jī)原理及應(yīng)用課程設(shè)計(jì) 急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)班級(jí): 測(cè)控071 學(xué)號(hào): 姓名: 完成日期:2010 年 6 月目錄一、課程設(shè)計(jì)目的和基本要求.31、課程設(shè)計(jì)目的.32、課程設(shè)計(jì)基本要求.3二、題目描述及要求.31、題目要求.32、設(shè)計(jì)方案選擇與論證.4三、LED燈簡(jiǎn)介.51、 LED 的結(jié)構(gòu)及發(fā)光原理.52、 LED 光源的特點(diǎn).53、單色光 LED 的種類及其發(fā)展歷史.6四、芯片的選擇與簡(jiǎn)介.61、核心芯片AT89C51單片機(jī)的說明.62、中央處理器(CPU).93、存儲(chǔ)器.104、定時(shí)器/計(jì)數(shù)器.115、中斷系統(tǒng).116、內(nèi)部總線.117 、AT89C51單片機(jī)工作方式.12五

2、、系統(tǒng)設(shè)計(jì).131、系統(tǒng)方框圖.132、工作原理.143、交通燈狀態(tài)分析.14六、電路原理圖.161、 單片機(jī)最小系統(tǒng).162、交通燈電路圖.173、總的電路圖.184、救護(hù)車來時(shí)處理.19七、程序流程圖.19八、程序源代碼.21九、程序仿真.24十、課程設(shè)計(jì)總結(jié).28十一、參考文獻(xiàn).29急救車優(yōu)先的交通燈控制系統(tǒng)設(shè)計(jì)一、 課程設(shè)計(jì)目的和基本要求:1、課程設(shè)計(jì)目的大學(xué)本科學(xué)生動(dòng)手能力的培養(yǎng)和提高是大學(xué)本科教育的一個(gè)重要內(nèi)容,單片機(jī)原理及應(yīng)用是一門應(yīng)用性較強(qiáng)的課程。如何讓學(xué)生在學(xué)好基礎(chǔ)知識(shí)的同時(shí),迅速掌握應(yīng)用技術(shù),實(shí)驗(yàn)與課程設(shè)計(jì)環(huán)節(jié)起著非常重要的作用。本課程設(shè)計(jì)的目的,是讓學(xué)生通過課程設(shè)計(jì),首先

3、建立起單片機(jī)應(yīng)用系統(tǒng)的概念,根據(jù)實(shí)際的系統(tǒng)設(shè)計(jì)要求,掌握初步的單片機(jī)系統(tǒng)設(shè)計(jì)方法,從硬件系統(tǒng)和軟件系統(tǒng)設(shè)計(jì)兩個(gè)方面得到實(shí)際的提高,為今后的畢業(yè)設(shè)計(jì)打下良好的基礎(chǔ)。2、課程設(shè)計(jì)基本要求:1) 在課程設(shè)計(jì)過程中,運(yùn)用89S51單片機(jī),根據(jù)設(shè)計(jì)要求繪制系統(tǒng)電路圖、編制系統(tǒng)程序流程圖、根據(jù)系統(tǒng)程序流程圖編制匯編源程序(或C51程序),進(jìn)行運(yùn)行調(diào)試(運(yùn)用仿真軟件proteus或在單片機(jī)實(shí)驗(yàn)平臺(tái)上)進(jìn)行調(diào)試運(yùn)行,最后提供課程設(shè)計(jì)報(bào)告。在此過程中,學(xué)生必須學(xué)會(huì)手冊(cè)的使用及相應(yīng)繪圖軟件的使用方法,使各方面的實(shí)際能力上得到一定的提高。2) 課程設(shè)計(jì)應(yīng)由學(xué)生本人獨(dú)立完成完成,嚴(yán)禁抄襲(對(duì)自己的設(shè)計(jì)不熟悉,讀不懂設(shè)

4、計(jì)中的關(guān)鍵功能部分,對(duì)設(shè)計(jì)的結(jié)構(gòu)不清楚,對(duì)設(shè)計(jì)的功能不了解等),一經(jīng)驗(yàn)收教師認(rèn)定其抄襲行為,成績(jī)即為不及格。3) 認(rèn)真編寫課程設(shè)計(jì)報(bào)告,課程設(shè)計(jì)報(bào)告的書寫格式見附錄2二、題目描述及要求1、題目要求請(qǐng)?jiān)O(shè)計(jì)一個(gè)交通燈控制電路,并且編寫相應(yīng)的軟件,完成交通燈的管理任務(wù)。該控制任務(wù)應(yīng)該完成下列功能:1) 控制LED燈,完成交通燈的管理任務(wù);2) 允許急救車優(yōu)先通過的要求。有急救車到達(dá)時(shí),所有的交通信號(hào)燈為紅燈,以便讓急救車通過。假定急救車通過路口時(shí)間為20秒,急救車通過后交通燈恢復(fù)中斷前狀態(tài);3) 按照分析、設(shè)計(jì)、調(diào)試和測(cè)試的軟、硬件開發(fā)過程完成這個(gè)控制任務(wù)。4) 根據(jù)交通燈控制變化規(guī)律。假設(shè)一個(gè)十字

5、路口為東西南北走向。初始狀態(tài)0為東西紅燈,南北紅燈。然后轉(zhuǎn)狀態(tài)1南北綠燈通車,東西紅燈。過20秒鐘轉(zhuǎn)狀態(tài)2,南北綠燈閃幾次轉(zhuǎn)亮黃燈,延時(shí)幾秒,東西仍然紅燈。再轉(zhuǎn)狀態(tài)3,東西綠燈通車,南北紅燈。過20秒鐘轉(zhuǎn)狀態(tài)4,東西綠燈閃幾次轉(zhuǎn)亮黃燈,延時(shí)幾秒,南北仍然紅燈。最后循環(huán)至狀態(tài)1;5)以按鍵為中斷申請(qǐng),表示有急救車通過,所有的交通信號(hào)燈全紅20秒鐘。2、設(shè)計(jì)方案選擇與論證:交通燈控制系統(tǒng),可由多種電路來構(gòu)成,我們這里提供三種方案供選擇:方案一:由普通的數(shù)字電路集成芯片組成這種方案的特點(diǎn)是:硬件設(shè)計(jì)思路簡(jiǎn)單,但用元器件多,電路比較復(fù)雜,焊接調(diào)試容易出錯(cuò),而且不利于智能控制,調(diào)時(shí)電路復(fù)雜。方案二:用V

6、HDL語言編程控制這種方案的特點(diǎn)是:硬件設(shè)計(jì)簡(jiǎn)單,電路結(jié)構(gòu)清晰,電路比較復(fù)雜 ,VHDL語言編程控制硬件,可方便的進(jìn)行仿真,調(diào)試。方案三:?jiǎn)纹瑱C(jī)控制采用單片機(jī)控制,可提高電路的可靠性與穩(wěn)定性,硬件電路比較簡(jiǎn)單,主要用軟件來控制,控制方式靈活多樣,能滿足不同情況的控制,可利用中斷等方式通過程序來方便的實(shí)現(xiàn)調(diào)時(shí)。綜合以上三種方案的特點(diǎn),結(jié)合我們自身的知識(shí)結(jié)構(gòu),我們采用方案三,選擇常用的51系列單片機(jī)構(gòu)成。三、LED燈簡(jiǎn)介1、 LED 的結(jié)構(gòu)及發(fā)光原理50 年前人們已經(jīng)了解半導(dǎo)體材料可產(chǎn)生光線的基本知識(shí) ,第一個(gè)商用二極管產(chǎn)生于 1960 年。 發(fā)光二極管的核心部分是由 p 型半導(dǎo)體和 n 型半導(dǎo)體

7、組成的晶片,在 p 型半導(dǎo)體和 n 型半導(dǎo)體之間有一個(gè)過渡層,稱為圖1發(fā)光二極管的構(gòu)造圖p-n 結(jié)。在某些半導(dǎo)體材料的 PN 結(jié)中,注入的少數(shù)載流子與多數(shù)載流子復(fù)合時(shí)會(huì)把多余的能量以光的形式釋放出來,從而把電能直接轉(zhuǎn)換為光能。 PN 結(jié)加反向電壓,少數(shù)載流子難以注入,故不發(fā)光。這種利用注入式電致發(fā)光原理制作的二極管叫發(fā)光二極管,通稱 LED 。當(dāng)它處于正向工作狀態(tài)時(shí)(即兩端加上正向電壓),電流從 LED 陽極流向陰極時(shí),半導(dǎo)體晶體就發(fā)出從紫外到紅外不同顏色的光線,光的強(qiáng)弱與電流有關(guān)。 2、 LED 光源的特點(diǎn)1). 電壓: LED 使用低壓電源,供電電壓在 6-24V 之間,根據(jù)產(chǎn)品不同而異,

8、所以它是一個(gè)比使用高壓電源更安全的電源,特別適用于公共場(chǎng)所。 2). 效能:消耗能量較同光效的白熾燈減少 80% 3). 適用性:很小,每個(gè)單元 LED 小片是 3-5mm 的正方形,所以可以制備成各種形狀的器件,并且適合于易 變的環(huán)境 4). 穩(wěn)定性: 10 萬小時(shí),光衰為初始的 50% 5). 響應(yīng)時(shí)間:其白熾燈的響應(yīng)時(shí)間為毫秒級(jí), LED 燈的響應(yīng)時(shí)間為納秒級(jí) 6). 對(duì)環(huán)境污染:無有害金屬汞 7). 顏色:改變電流可以變色,發(fā)光二極管方便地通過化學(xué)修飾方法,調(diào)整材料的能帶結(jié)構(gòu)和帶隙,實(shí)現(xiàn)紅黃 綠蘭橙多色發(fā)光。如小電流時(shí)為紅色的 LED ,隨著電流的增加,可以依次變?yōu)槌壬S色,最后為綠

9、色 8). 價(jià)格: LED 的價(jià)格比較昂貴,較之 于白熾燈,幾只 白熾燈 的價(jià)格就可以與一只LED燈的價(jià)格相當(dāng),而通 常每組信號(hào)燈需由上 300 500 只二極管構(gòu)成。 圖2 LED燈3、單色光 LED 的種類及其發(fā)展歷史最早應(yīng)用半導(dǎo)體 P-N 結(jié)發(fā)光原理制成的 LED 光源問世于 20 世紀(jì) 60 年代初。當(dāng)時(shí)所用的材料是 GaAsP ,發(fā)紅光( p =650nm ),在驅(qū)動(dòng)電流為 20 毫安時(shí),光通量只有千分之幾個(gè)流明,相應(yīng)的發(fā)光效率約 0.1 流明 / 瓦。70 年代中期,引入元素 In 和 N ,使 LED 產(chǎn)生綠光( p =555nm ),黃光( p =590nm )和橙光( p =

10、610nm ),光效也提高到 1 流明 / 瓦。到了 80 年代初,出現(xiàn)了 GaAlAs 的 LED 光源,使得紅色 LED 的光效達(dá)到 10 流明 / 瓦。90 年代初,發(fā)紅光、黃光的 GaAlInP 和發(fā)綠、藍(lán)光的 GaInN 兩種新材料的開發(fā)成功,使 LED 的光效得到大幅度的提高。在 2000 年,前者做成的 LED 在紅、橙區(qū)( p =615nm )的光效達(dá)到 100 流明 / 瓦,而后者制成的 LED 在綠色區(qū)域( p =530nm )的光效可以達(dá)到 50 流明 / 瓦四、芯片的選擇與簡(jiǎn)介1、核心芯片AT89C51單片機(jī)的說明 (1)主要特性: 與MCS-51 兼容 4K字節(jié)可編程

11、閃爍存儲(chǔ)器 壽命:1000寫/擦循環(huán)數(shù)據(jù)保留時(shí)間:10年全靜態(tài)工作:0Hz-24Hz三級(jí)程序存儲(chǔ)器鎖定128*8位內(nèi)部RAM32可編程I/O線兩個(gè)16位定時(shí)器/計(jì)數(shù)器 5個(gè)中斷源 可編程串行通道低功耗的閑置和掉電模式 片內(nèi)振蕩器和時(shí)鐘電路(2).管腳說明: VCC:供電電壓。 GND:接地。 AT89C51 圖3 P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被

12、拉高。 P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1 后,被內(nèi)部 上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地

13、址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。 P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。 P3口也可作為AT89C51的一些特殊功能口,如下表所示:口管腳 備選功能P3.0 RXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2 /INT0(外部中斷0)P3.3 /INT1(外部中斷1)P3.4 T

14、0(記時(shí)器0外部輸入)P3.5 T1(記時(shí)器1外部輸入)P3.6 /WR(外部數(shù)據(jù)存儲(chǔ)器寫選通)P3.7 /RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 圖4RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸

15、出可在SFR8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。 /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。 /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)

16、。 XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 XTAL2:來自反向振蕩器的輸出。(3)振蕩器特性: XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。(4)芯片擦除: 整個(gè)PEROM陣列和三個(gè)鎖定位的電擦除可通過正確的控制信號(hào)組合,并保持ALE管腳處于低電平10ms 來完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必

17、須被執(zhí)行。此外,AT89C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。2、中央處理器(CPU)CPU是單片機(jī)內(nèi)部的核心部件,是一個(gè)8位二進(jìn)制數(shù)的中央處理單元,主要由運(yùn)算器、控制器和寄存器陣列構(gòu)成。2.1運(yùn)算器運(yùn)算器用來完成算術(shù)運(yùn)算和邏輯運(yùn)算功能,它是AT89C51內(nèi)部處理各種信息的主要部件。運(yùn)算器主要由算術(shù)邏輯單元(ALU)、累加器(ACC)、暫存寄存器(TMP1、TMP2)和狀態(tài)寄存器(

18、PSW)組成。(1)算術(shù)邏輯單元(ALU):AT89C51中的ALU由加法器和一個(gè)布爾處理器組成。(2)累加器(ACC):用來存放參與算術(shù)運(yùn)算和邏輯運(yùn)算的一個(gè)操作數(shù)或運(yùn)算結(jié)果。(3)暫存寄存器(TMP1、TMP2):用來存放參與算術(shù)運(yùn)算和邏輯運(yùn)算的另一個(gè)操作數(shù),它對(duì)用戶不開放。(4)狀態(tài)寄存器(PSW):PSW是一個(gè)8位標(biāo)志寄存器,用來存放ALU操作結(jié)果的有關(guān)狀態(tài)。2.2控制器控制器是單片機(jī)內(nèi)部按一定時(shí)序協(xié)調(diào)工作的控制核心,是分析和執(zhí)行指令的部件??刂破髦饕沙绦蛴?jì)數(shù)器PC、指令寄存器IR、指令譯碼器ID和定時(shí)控制電路等構(gòu)成。程序計(jì)數(shù)器PC是專門用于存放現(xiàn)行指令的16位地址的。CPU就是根據(jù)P

19、C中的地址到ROM中去讀取程序指令碼和數(shù)據(jù),并送給指令寄存器IR進(jìn)行分析。指令寄存器IR用于存放CPU根據(jù)PC地址從ROM中讀出的指令操作碼。指令譯碼器ID是用于分析指令操作的部件,指令操作碼經(jīng)譯碼后產(chǎn)生相應(yīng)于某一特定操作的信號(hào)。定時(shí)控制邏輯中定時(shí)部件用來產(chǎn)生脈沖序列和多種節(jié)拍脈沖。2.3寄存器寄存器陣列是單片機(jī)內(nèi)部的臨時(shí)存放單元或固定用途單元,包括通用寄存器組和專用寄存器組。通用寄存器組用來存放過渡性的數(shù)據(jù)和地址,提高CPU的運(yùn)行速度。專用寄存器組主要用來指示當(dāng)前要執(zhí)行指令的內(nèi)存地址,存放特定的操作數(shù),指示指令運(yùn)行的狀態(tài)。3、存儲(chǔ)器AT89C51單片機(jī)內(nèi)部有256個(gè)字節(jié)的RAM數(shù)據(jù)存儲(chǔ)器和4

20、Kb的閃存程序存儲(chǔ)器(Flash),當(dāng)不夠使用時(shí),可分別擴(kuò)展為64Kb外部存儲(chǔ)器和64Kb外部程序存儲(chǔ)器,它們的邏輯單元是分開的,并有各自的尋址機(jī)構(gòu)和尋址方式。這種結(jié)構(gòu)的單片機(jī)稱為哈弗型結(jié)構(gòu)的單片機(jī)。程序存儲(chǔ)器是可讀不可寫的,用于存放編好的程序和表格常數(shù)。數(shù)據(jù)存儲(chǔ)器是即可讀也可寫的,用于存放運(yùn)算的中間結(jié)果,進(jìn)行數(shù)據(jù)暫存和數(shù)據(jù)緩沖等。AT89C51單片機(jī)對(duì)外部電路進(jìn)行控制或交換信息都是通過I/O端口進(jìn)行的。單片機(jī)的I/O端口分為并行I/O端口和串行I/O端口,它們的結(jié)構(gòu)和作用并不相同。(1)并行I/O端口AT89C51有四個(gè)8位并行I/O端口,分別命名為P0口、P1口、P2口和P3口,它們都是8

21、位準(zhǔn)雙向口,每次可以并行輸入或輸出8位二進(jìn)制信息。(2)串行I/O端口 AT89C51有一個(gè)全雙工的可編程串行I/O端口,它利用了P3口的第二功能,即將P3.1引腳作為串行數(shù)據(jù)的發(fā)送線TXD,將P3.0引腳作為串行數(shù)據(jù)的接收線RXD。4、定時(shí)器/計(jì)數(shù)器AT89C51內(nèi)部有兩個(gè)16位可編程定時(shí)器/計(jì)數(shù)器,簡(jiǎn)稱為定時(shí)器0(T0)和定時(shí)器1(T1),T0和T1分別由兩個(gè)8位寄存器構(gòu)成,其中T0由THO(高8位)和TL0(低8位)構(gòu)成,T1由TH1(高8位)和TL1()低8位構(gòu)成。TH0、TL0、TH1、TL1都是SFR中的特殊功能寄存器。T0和T1在TCON和TMOD的控制下可工作在定時(shí)器模式下或計(jì)

22、數(shù)器模式下,每種模式下又有不同的工作方式。當(dāng)定時(shí)或計(jì)數(shù)益處時(shí)可申請(qǐng)中斷。5、中斷系統(tǒng)單片機(jī)中的中斷系統(tǒng)指CPU暫停在正在執(zhí)行的程序轉(zhuǎn)而為中斷源服務(wù)(執(zhí)行中斷服務(wù)程序),在執(zhí)行完終端服務(wù)程序后再回到原程序繼續(xù)執(zhí)行。中斷系統(tǒng)是指能夠處理上述中斷過程所需要的部分電路。AT89C51的中斷系統(tǒng)由中斷源、中斷允許控制器IE、中斷優(yōu)先控制器IP、定時(shí)器控制器TCON(中斷標(biāo)志寄存器)等構(gòu)成,IE、IP、TCON均為SFR特殊功能寄存器。6、內(nèi)部總線 總線是用于傳送信息的公共途徑,總線可分為數(shù)據(jù)總線、地址總線和控制總線。單片機(jī)內(nèi)的CPU、存儲(chǔ)器、I、O接口等單元部件都通過總線連接到一起。采用總線結(jié)構(gòu)可以減少

23、信息傳輸線的根據(jù),提高系統(tǒng)可靠性,增強(qiáng)系統(tǒng)靈活性。 AT89C51單片機(jī)內(nèi)部總線是單總線結(jié)構(gòu),即數(shù)據(jù)總線和地址總線是公用的。7 、AT89C51單片機(jī)工作方式復(fù)位方式單片機(jī)在開機(jī)時(shí)或在工作中因干擾而使程序失控或工作中程序處于某種死循環(huán)狀態(tài)等情況下都需要復(fù)位。復(fù)位的作用是使中央處理器CPU以及其他功能部件都恢復(fù)到一個(gè)確定的初始狀態(tài),從這個(gè)狀態(tài)開始工作。(1) 復(fù)位原理 AT89C51單片機(jī)的復(fù)位靠外部電路實(shí)現(xiàn),信號(hào)由RESET(RST)引腳輸入,高電平有效,在振蕩器工作時(shí),只要保持RST引腳高電平兩個(gè)機(jī)器周期,單片機(jī)即復(fù)位。復(fù)位后,PC程序計(jì)數(shù)器的內(nèi)容為0000H。片內(nèi)RAM中內(nèi)容不變。(2)

24、常用復(fù)位電路一般有上電復(fù)位、手動(dòng)開關(guān)復(fù)位和自動(dòng)復(fù)位電路三種,如圖所示。圖5單片機(jī)復(fù)位電路(3) AT89C51時(shí)鐘電路振蕩器于時(shí)鐘電路單片機(jī)內(nèi)各部件之間有條不紊的協(xié)調(diào)工作,其控制信號(hào)是在一種基本節(jié)拍的指揮下按一定時(shí)間順序發(fā)出的,這些控制信號(hào)在時(shí)間上的相互關(guān)系就是CPU時(shí)序。而產(chǎn)生這種基本節(jié)拍的電路就是振蕩器和時(shí)鐘電路。AT89C51單片機(jī)內(nèi)部有一個(gè)用于構(gòu)成振蕩器的單級(jí)反向放大器,如圖所示:圖6 AT89C51內(nèi)部振蕩電路圖引腳XTAL1為反相器輸入端,XTAL2為反相器輸出端。當(dāng)在放大器兩個(gè)引腳上外接一個(gè)晶體和電容組成的并聯(lián)諧振電路作為反饋元件時(shí),便構(gòu)成一個(gè)自激振蕩器,如下圖所示:圖7 內(nèi)部振

25、蕩器等效電路圖五、系統(tǒng)設(shè)計(jì)1、系統(tǒng)方框圖電 源信號(hào)燈AT89C51 震 蕩部 分復(fù) 位電 路 圖82、工作原理 交通燈的亮、滅由P0口引腳P0.0、P0.4、P0.2、P0.3、P0.4、P0.5的高、低電平控制,高電平時(shí)亮,低電平時(shí)滅。即東西紅燈亮 P0.0=1 東西紅燈滅 P0.0=0東西綠燈亮 P0.2=1 東西綠燈滅 P0.2=0東西黃燈亮 P0.1=1 東西黃燈滅 P0.1=0南北紅燈亮 P0.3 =1 南北紅燈滅 P0.3=0南北綠燈亮 P3.5 =1 南北綠燈滅 P3.5=0南北黃燈亮 P3.4 =1 南北黃燈亮 P3.4=03、交通燈狀態(tài)分析 十字路口交通燈如下圖所示,將12個(gè)

26、交通燈進(jìn)行編號(hào) 圖9這12個(gè)交通燈共有四個(gè)狀態(tài):狀態(tài)1(S1):東西紅燈(4、10)亮,南北綠燈(3、9)亮。狀態(tài)2(S2):南北綠燈(3、9)滅,黃燈(2、8)亮,東西仍為紅燈(4、10)亮。狀態(tài)3(S3):南北紅燈(1、7)亮,東西綠燈(6、12)亮。狀態(tài)4(S4):東西綠燈(6、12)滅,黃燈(5、11)亮,南北仍為紅燈(1、7)亮。 具體的延時(shí)程序:mov tmod,#01h ;定時(shí)器T0工作方式mov th0,#03ch mov tl0,#0b0h ;延時(shí)mssetb tr0 ;啟動(dòng)T0工作loop1:jbc tf0,loop2;100ms到,即TF0=1,轉(zhuǎn)到loop2,并清TF0

27、sjmp loop1 ;未到ms,再檢查TF0loop2: 以上程序延時(shí)100毫秒,用軟件循環(huán)的方法,循環(huán)幾次就是幾百毫秒,如下loop0: mov tmod,#01h ;定時(shí)器T0工作方式mov th0,#03ch mov tl0,#0b0h ;延時(shí)mssetb tr0 ;啟動(dòng)T0工作loop1:jbc tf0,loop2;100ms到,即TF0=1,轉(zhuǎn)到loop2,并清TF0sjmp loop1 ;未到ms,再檢查TF0loop2: djnz r1,loop0;r1=r1-1,r1不為時(shí),轉(zhuǎn)到loop0,繼續(xù)循環(huán),r1=0,退出循環(huán)以上程序,r1=100時(shí)為10秒,r1=300為30秒,所

28、以具體延時(shí)時(shí)間由r1決定。把它放在函數(shù)delay中。供以后調(diào)用,六、電路原理圖1、 單片機(jī)最小系統(tǒng) 圖10 單片機(jī)最小系統(tǒng) 單片機(jī)最小系統(tǒng)以AT89C51為核心,外加時(shí)鐘電路,電路結(jié)構(gòu)簡(jiǎn)單,抗干擾能力強(qiáng),成本相對(duì)較低,非常符合本設(shè)計(jì)的所有要求.89c51單片機(jī)系列是在MCS-51系列的基礎(chǔ)上發(fā)展起來的,是當(dāng)前8位單片機(jī)的典型代表,采用CHMOS工藝,即互補(bǔ)金屬氧化物的HMOS工藝, CHMOS是CMOS和HMOS的結(jié)合,具有HMOS高速度和高密度的特點(diǎn),還具有CMOS低功耗的特點(diǎn).時(shí)鐘電路在單片機(jī)的外部通過XTAL1,XTAL2這兩個(gè)引腳跨接晶體振蕩器和微調(diào)電容,構(gòu)成穩(wěn)定的自激振蕩器.本系統(tǒng)采

29、用的為12MHz的晶振,一個(gè)機(jī)器周期為1us,C1,C2為30pF。復(fù)位電路分為上電自動(dòng)復(fù)位和按鍵手動(dòng)復(fù)位,RST引腳是復(fù)位信號(hào)的輸入端,復(fù)位信號(hào)是高電平有效.上電自動(dòng)復(fù)位通過電容C3和電阻R2來實(shí)現(xiàn),按鍵手動(dòng)復(fù)位是圖中復(fù)位鍵來實(shí)現(xiàn)的。上拉電阻P0口是一個(gè)三態(tài)雙向口,可作為地址數(shù)據(jù)分時(shí)復(fù)用口,也可作為通用的I/O接口。它包括一個(gè)輸出鎖存器、兩個(gè)三態(tài)緩沖器、輸出驅(qū)動(dòng)電路和輸出控制電路組成 在輸出數(shù)據(jù)時(shí),由于輸出級(jí)是漏極開路電路,要使“1”信號(hào)正常輸出,必須外接上拉電阻。電路圖如下圖11 外接上拉電阻 2、交通燈電路圖3、總的電路圖 4、救護(hù)車來時(shí)處理;中斷程序;INT0有信號(hào)時(shí)中斷wbi:pus

30、h p0;保存中斷時(shí)交通燈狀態(tài)mov a,r1;保存剩余的時(shí)間 mov p0,#09h; mov r1,#0c8h; lcall delay;mov r1,apop p0 retiINT0信號(hào)有下降沿時(shí),產(chǎn)生中斷,保存中斷時(shí)交通燈狀態(tài),并保存剩余的時(shí)間,以便20秒后恢復(fù)七、程序流程圖開 始初始化時(shí)鐘程序東西綠南北紅剩余時(shí)間20S?東西黃南北紅東西方向剩余時(shí)間3S?南北綠東西紅南北方向剩余間20S?南北黃東西紅南北方向剩余時(shí)間3S?否否否否否開始外部中斷初始化延時(shí)20秒東南西北紅燈亮5秒倒計(jì)時(shí)顯示保存燈亮狀態(tài)及延時(shí)八、程序源代碼ORG 0000H ;起始地址為Hajmp main org 000

31、3h ;中斷向量 ajmp wbi ;INT0有信號(hào)時(shí)中斷 org 0030h;主程序入口地址main:setb it0setb ex0setb ea;東西南北都紅燈mov p0,#09h mov r1,#0ah;延時(shí)slcall delay ;調(diào)用延時(shí)子程序loop: ;東西紅燈,南北綠燈mov p0,#021hmov r1,#0c8h;延時(shí)slcall delay;調(diào)用延時(shí)子程序;東西紅燈,南北黃燈mov p0,#011hmov r1,#01eh;延時(shí)slcall delay;調(diào)用延時(shí)子程序;東西綠燈,南北紅燈mov p0,#0chmov r1,#0c8h;延時(shí)slcall delay;調(diào)

32、用延時(shí)子程序;東西黃燈,南北紅燈mov p0,#0ahmov r1,#01eh;延時(shí)slcall delay;調(diào)用延時(shí)子程序sjmp loop ;開始下一輪循環(huán);延時(shí)子程序;入口參數(shù)r1:延時(shí)時(shí)間單位:msdelay: ;子程序入口loop0: mov tmod,#01h ;定時(shí)器T0工作方式mov th0,#03ch mov tl0,#0b0h ;延時(shí)mssetb tr0 ;啟動(dòng)T0工作loop1:jbc tf0,loop2;100ms到,即TF0=1,轉(zhuǎn)到loop2,并清TF0sjmp loop1 ;未到ms,再檢查TF0loop2: djnz r1,loop0;r1=r1-1,r1不為時(shí)

33、,轉(zhuǎn)到loop0,繼續(xù)循環(huán),r1=0,退出循環(huán)ret;返回主程序;中斷程序;INT0有信號(hào)時(shí)中斷wbi:push p0;保存中斷時(shí)交通燈狀態(tài)mov a,r1;保存剩余的時(shí)間 mov p0,#09h; mov r1,#0c8h; lcall delay;mov r1,apop p0 retiendend;九、程序仿真1.0秒1秒2、1秒21秒3、21秒24秒4、24秒44秒5、44秒47秒6、47秒67秒7、救護(hù)車來了8、20秒后恢復(fù)原狀態(tài)十、課程設(shè)計(jì)總結(jié)此次單片機(jī)設(shè)計(jì)是根據(jù)AT89C51單片機(jī)的特點(diǎn)及交通燈在實(shí)際控制中的特點(diǎn),做出一種用單片機(jī)自動(dòng)控制交通燈顯示的方法。同時(shí)給出了軟硬件設(shè)計(jì)方法,

34、設(shè)計(jì)過程包括硬件電路設(shè)計(jì)和程序設(shè)計(jì)兩大步驟。這個(gè)設(shè)計(jì)對(duì)十字路口狀態(tài)預(yù)設(shè)為兩種,一種是正常狀態(tài),另一種是緊急狀態(tài),并分別用黃、紅、綠色燈的不同組合來表示。在設(shè)計(jì)中寫出了控制基本原理以及控制的表現(xiàn),同時(shí)也介紹了城市交通信息系統(tǒng)的設(shè)計(jì)目標(biāo),開發(fā)途徑及其系統(tǒng)結(jié)構(gòu)與功能和數(shù)據(jù)地理編碼,介紹了用于城市交叉路口的三色程控交通信號(hào)控制方案,對(duì)其電源供電、發(fā)光二極管構(gòu)成的負(fù)載結(jié)構(gòu)、燈色時(shí)間檢測(cè)都給出了詳細(xì)分析和設(shè)計(jì)通過兩個(gè)多星期的單片機(jī)課程設(shè)計(jì),我對(duì)單片機(jī)有了更深的體會(huì)。我了解和掌握了一些編程思想和對(duì)I/O口的使用。這次實(shí)訓(xùn)通過單片機(jī)的I/O口來控制交通燈。在編程的過程中,可以說是比較順利,只是摸仿其他的編程思

35、路,再加上一些自己的思想來滿足這次實(shí)訓(xùn)的任務(wù)。通過本次設(shè)計(jì)實(shí)驗(yàn)的操作,了解了交通燈的發(fā)展歷史及其工作原理,并在此基礎(chǔ)上設(shè)計(jì)硬件電路原理圖,編寫了相應(yīng)的軟件應(yīng)用程序,并調(diào)試運(yùn)行成功,使理論與實(shí)際有機(jī)的結(jié)合起來,在鞏固理論知識(shí)的同時(shí)鍛煉了自己的動(dòng)手實(shí)踐能力。而且,在本次實(shí)驗(yàn)中,掌握了中斷處理程序和延時(shí)程序的應(yīng)用,本程序用到的延時(shí)程序是采用定時(shí)器和計(jì)數(shù)器相結(jié)合的方法,充分利用了單片機(jī)的硬件資源,提高了執(zhí)行效率。還知道了如何保護(hù)進(jìn)入中斷前的狀態(tài),使得中斷程序執(zhí)行完畢后能回到交通燈中斷前的狀態(tài)。通過這次的實(shí)訓(xùn),對(duì)單片機(jī)的I/O口的使用的條件有更深的理解,對(duì)單片機(jī)的各個(gè)管腳功能的理解也加深了,以及在常用編

36、程設(shè)計(jì)思路技巧(特別是匯編語言)的掌握方面都能向前邁了一大步。這兩周的實(shí)習(xí)真的有點(diǎn)郁悶,程序里面的好多內(nèi)容不懂,自我感覺是單片機(jī)我們所學(xué)的內(nèi)容還不足以編出這兩個(gè)程序,但是只好硬著頭皮去看去理解。但在學(xué)習(xí)過程中也充滿了樂趣,當(dāng)看懂了程序的一些語句,畫出了要求的設(shè)計(jì)圖,那我喜悅那種成就感油然而生。 這次實(shí)習(xí)讓我受益匪淺,無論從知識(shí)上還是其他的各個(gè)方面。上課的時(shí)候的學(xué)習(xí)從來沒有見過真正的單片機(jī),只是從理論的角度去理解枯燥乏味。但在實(shí)習(xí)中見過甚至使用了單片機(jī)及其系統(tǒng),能夠理論聯(lián)系實(shí)際的學(xué)習(xí),開闊了眼界,提高了單片機(jī)知識(shí)的理解和水平。在這次課程設(shè)計(jì)中又讓我體會(huì)到了合作與團(tuán)結(jié)的力量,當(dāng)遇到不會(huì)或是設(shè)計(jì)不出

37、來的地方,我們就會(huì)在QQ群里討論或者是同學(xué)之間相互幫助。團(tuán)結(jié)就是力量,無論在現(xiàn)在的學(xué)習(xí)中還是在以后的工作中,團(tuán)結(jié)都是至關(guān)重要的,有了團(tuán)結(jié)會(huì)有更多的理念、更多的思維、更多的情感。 單片機(jī)是很重要的一門課程,老師和一些工作的朋友都曾說過,如果學(xué)好一門單片機(jī),就憑這個(gè)技術(shù)這門手藝找一個(gè)好工作也不成問題。盡管我們?cè)谡n堂學(xué)到的內(nèi)容很有限,但在以后的學(xué)習(xí)中單片機(jī)還需要好好的深入研究和學(xué)習(xí),學(xué)好了單片機(jī)也就多了一項(xiàng)生存的本錢。最后感謝老師對(duì)我們的精心指導(dǎo)和幫助,感謝同學(xué)們對(duì)我的幫助。來源:( - 單片機(jī)課程設(shè)計(jì)心得_逆流的風(fēng)_新浪博客十一、參考文獻(xiàn)1胡漢才.單片機(jī)原理及其接口技術(shù) M. 北京:清華大學(xué)出版,19962付家才. 單片機(jī)控制工程實(shí)踐技術(shù)M. 北京:化學(xué)工業(yè)出版社,2004.53余錫存 曹國華.單片機(jī)原理及接口技術(shù)M.陜西:西安電子科技大學(xué)出版社,2000.74雷麗文 等.微機(jī)原理與接口技術(shù)M.北京:電子工業(yè)出版社,1997.25蔣萬君 .在論循環(huán)時(shí)序電路的簡(jiǎn)便設(shè)計(jì)J .機(jī)電一體化,2005 第5期6李朝青單片機(jī)原理及接口技術(shù)M北京:北京航空航天大學(xué)出版社,19997李廣第等單片機(jī)基礎(chǔ)M北京:北京航空航天大學(xué)出版社,200131

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!