1482.《單片機原理及應用》單片機實驗指導書

上傳人:仙*** 文檔編號:33234212 上傳時間:2021-10-16 格式:DOC 頁數(shù):38 大?。?.85MB
收藏 版權(quán)申訴 舉報 下載
1482.《單片機原理及應用》單片機實驗指導書_第1頁
第1頁 / 共38頁
1482.《單片機原理及應用》單片機實驗指導書_第2頁
第2頁 / 共38頁
1482.《單片機原理及應用》單片機實驗指導書_第3頁
第3頁 / 共38頁

下載文檔到電腦,查找使用更方便

15 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《1482.《單片機原理及應用》單片機實驗指導書》由會員分享,可在線閱讀,更多相關(guān)《1482.《單片機原理及應用》單片機實驗指導書(38頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、 單片機原理及應用課程實驗指導書一、 課程實驗項目整個實驗分為三部分:一是指令系統(tǒng)和匯編語言程序設(shè)計實驗,旨在教會學生程序設(shè)計的基本方法和技巧;二是接口實驗,本實驗以接口電路中實際存在的信息流為程序設(shè)計的目標;三是綜合實驗,本實驗是由多個知識點構(gòu)成的硬件和軟件交錯在一起的實驗,以建立系統(tǒng)概念為主要目的。(一)、軟件實驗1. 軟件實驗一 存儲器塊清零2. 軟件實驗二 二進制到BCD轉(zhuǎn)換3. 軟件實驗三 內(nèi)存塊移動4. 軟件實驗四 程序跳轉(zhuǎn)表5. 軟件實驗五 數(shù)據(jù)排序(二)、硬件實驗1. 硬件實驗一 P1口輸入、輸出實驗2. 硬件實驗二 繼電器控制實驗3. 硬件實驗三 外部中斷實驗4. 硬件實驗四

2、 定時器實驗5. 硬件實驗五 計數(shù)器實驗6. 硬件實驗六 串行數(shù)轉(zhuǎn)換并行數(shù)實驗7. 硬件實驗七 并行數(shù)轉(zhuǎn)換串行數(shù)實驗8. 硬件實驗八 單片機串行口通訊實驗9. 硬件實驗九 用74LS245讀入數(shù)據(jù)10. 硬件實驗十 用74LS273輸出數(shù)據(jù)11. 硬件實驗十一 8255輸入、輸出實驗12. 硬件實驗十二 外部中斷(急救車與交通燈)13. 硬件實驗十三 D/A轉(zhuǎn)換實驗14. 硬件實驗十四 A/D轉(zhuǎn)換實驗15. 硬件實驗十五 八段數(shù)碼管顯示16. 硬件實驗十六 鍵盤掃描顯示實驗17.硬件實驗十七 電子時鐘二、 實驗器材簡介單片機實驗一般的實驗儀器有:單片機實驗箱、單片機仿真器、數(shù)字儲存示波器、數(shù)字

3、萬用電表等。(一)、Lab6000系列單片機仿真實驗系統(tǒng)的特點與基本組成偉福單片機仿真實驗系統(tǒng)由板上仿真器、實驗儀、偉福仿真軟件等組成。本實驗儀提供強大的邏輯分析、波形輸出和程序跟蹤功能,可以直觀地觀察到單片機內(nèi)部及外部電路工作的波形。實驗儀上有豐富的實驗電路和靈活的組成方法。這些電路可以和8031系列CPU、80C196系列及8088/8086CPU組合完成各種實驗。本實驗儀將高檔仿真器所具有的邏輯分析儀、波形發(fā)生器和程序跟蹤器等強大的分析功能移植過來,在做實驗時不僅可以了解軟件的執(zhí)行過程,也可以直觀地開刀程序運行時電路的信號狀態(tài)、工作時序,詳細了解電路工作情況。仿真實驗系統(tǒng)有三種使用方法:

4、(1):用PC機上的集成調(diào)試軟件驅(qū)動板上仿真器進行仿真和實驗。(2)無PC機,僅用實驗儀的板上仿真器進行仿真和實驗。(3)無實驗儀和仿真器,僅在PC機上采用軟件模擬方式進行仿真。配MCS51/196仿真板,可以進行8051或80C196的實驗。配8088/8086仿真板,可以進行8088/8086實驗。實驗系統(tǒng)自帶鍵盤和顯示器,自帶系統(tǒng)監(jiān)控程序。如果沒有PC機也同樣可以進行各種學習核試驗。配備PC機集成調(diào)試軟件,在有PC機的情況下,通過板上仿真器實現(xiàn)64KB全空間的硬件斷點和仿真。PC機軟件具有全集成化仿真環(huán)境,中英文兩種界面,軟件仿真與硬件仿真兩種模式。實驗儀可以方便靈活地構(gòu)成各種實驗方案,

5、在有無PC機和實驗儀的情況下,都能進行相應的編程實驗,從而具有極為廣泛的應用范圍。實驗儀上提供了基本實驗電路,減少繁鎖的連線過程,同時還提供了DIP40/28/24/20/16/14插孔和CPU的地址總線引出插孔,可用于擴展其他實驗,培養(yǎng)實際動手能力,加強對實驗電路的理解。實驗系統(tǒng)在硬件上預留了自主開發(fā)實驗的空間。對于基本實驗只需要少量連線就可以進行,以減少工作量,同時提供了一些需較多連線的擴展性實驗來進一步鍛煉實際動手能力。偉福仿真實驗系統(tǒng)上各功能模塊的排列見下圖所示。各個模塊的引腳都被引出到相應的插孔,擴展插孔可兼容28腳、24腳、16腳和14腳的芯片,利用這個插座可以對雙列直插式的各種芯

6、片進行實驗??偩€擴展為三排插孔,用于引出各種總線信號,包括8根數(shù)據(jù)總線、16根地址總線,以及ALE、RD、WR等控制總線。另外,與CPU相關(guān)的一些控制信號和I/O信號。例如,P1口、RXD、TXD等信號在POD51仿真板上引出。偉福仿真實驗系統(tǒng)具有編輯、編譯、下載、調(diào)試全部集中在一個環(huán)境下。配用不同的仿真頭可仿真51系列,196系列,PIC系列,飛利蒲公司的552、LPC764、DALLAS320,華邦438等51增強型CPU。為了跟上形勢,現(xiàn)在很多工程師需要面對和掌握不同的項目管理器、編輯器、編譯器。他們由不同的廠家開發(fā),相互不兼容,使用不同的界面,學習使用都很吃力。偉福WINDOWS調(diào)試軟

7、件為您提供了一個全集成環(huán)境,統(tǒng)一的界面,包含一個項目管理器,一個功能強大的編輯器,匯編Make、Build和調(diào)試工具并提供千個與第三方編譯器的接口。由于風格統(tǒng)一,大大節(jié)省了您的精力和時間。 圖:偉福Lab6000單片機仿真實驗儀模塊排列強大的邏輯分析儀綜合調(diào)試功能。邏輯分析儀由交互式軟件菜單窗口對系統(tǒng)硬件的邏輯或時序進行同步實時采樣,并實時在線調(diào)試分析,采集深度32K(E6000/L ),最高時基采樣頻率達20MHz,40路波形,可精確實時反映用戶程序運行時的歷史時間。系統(tǒng)在使用邏輯分析儀時,除普通的單步運行、鍵盤斷點運行、全速硬件斷點運行外,還可實現(xiàn)各種條件組合斷點如:數(shù)據(jù)、地址、外部控制信

8、號、CPU內(nèi)部控制信號、程序區(qū)間斷點等。由于邏輯儀可以直接對程序的執(zhí)行結(jié)果進行分析,因此極大地便利于程序的調(diào)試。隨著科學技術(shù)的發(fā)展,單片機通訊方面的運用越來越多。在通訊功能的調(diào)試時,如果通訊不正常,查找原因是非常耗時和低效的,您很難搞清楚問題到底在什么地方,是波特率不對,是硬件信道有問題,是通訊協(xié)儀有問題,是發(fā)方出錯還是收方出錯。有了邏輯儀,情況則完全不一樣,用它可以分別或者同時對發(fā)送方、接收方的輸入或者輸出波形進行記錄、存儲、對比、測量等各種直觀的分析,可以將實際輸出通訊報文的波形與源程序相比較,可立即發(fā)現(xiàn)問題所在,從而極大地方便了調(diào)試。強大的追蹤器功能追蹤功能以總線周期為單位,實時記錄仿真

9、過程中CPU發(fā)生的總線事件,其觸發(fā)條件方式同邏輯分析儀。追蹤窗口在仿真停止時可收集顯示追蹤的CPU指令記憶信息,可以以總線反匯編碼模式、源程序模式對應顯示追蹤結(jié)果。屏幕窗口顯示波形圖最多追蹤記憶指令32K并通過仿真器的斷點、單步、全速運行或各種條件組合斷點來完成追蹤功能??偩€跟蹤可以跟蹤程序的運行軌跡??梢越y(tǒng)計軟件運行時間。(二)、偉福E6000/L仿真器的特點:1、仿真頭介紹: POD8X5XP 仿真頭轉(zhuǎn)接座仿真頭晶振選擇跳線 選擇仿真頭晶振 選擇用戶板晶振20腳1腳圈與圈對接復位信號輸出5V電源輸出20芯電纜插座34芯電纜插座 20芯電纜34芯電纜仿真器仿真頭1402120仿真器與POD8

10、X5XP連接圖POD8X5XP仿真頭為POD8X5X改進型。可配E2000系列,E6000系列,K51系列仿真器,用于仿真MCS51系列及兼容單片機,可仿真CPU種類為8031/32, 8051/52, 875X, 89C5X, 89CX051, 華邦的78E5X, LG的97C51/52/1051/2051。 配有40腳DIP封裝的轉(zhuǎn)接座,可選配44腳PLCC封裝的轉(zhuǎn)接座.選配2051轉(zhuǎn)接座可仿真20腳DIP封裝的89CX051CPU。2、性能參數(shù):支持Keil uVision2;提供的集成調(diào)試環(huán)境必須集成編緝器、編譯器、調(diào)試器; 調(diào)試手段包括邏輯分析儀、跟蹤器、邏輯筆、波形發(fā)生器、影子存儲

11、器、記時器、程序時效分析、數(shù)據(jù)時效分析、硬件測試儀、事件觸發(fā)器等;支持匯編、C、PL/M源程序混合調(diào)試;支持軟件模擬; 支持項目管理;支持點屏功能;觀察窗口,支持所有的數(shù)據(jù)類型。在線直接修改、編譯、調(diào)試源程序,錯誤指令定位。每套加配如下仿真頭:PODH8X5X、POD2051。當更換不同型號的仿真頭時即可對各種不同類型的單片機進行仿真,是一種靈活的多CPU仿真系統(tǒng)。更換POD,可以對各種CPU進行仿真 (仿真頭型號為POD8X5X可仿真51系列8X5X單片機)??煞抡?1系列,196系列,PIC系列,飛利蒲公司的552、LPC764、DALLAS320,華邦438等51增強型CPU。3、仿真器

12、介紹:E6000/L型仿真器的外形示意圖如下所示:偉福E6000/L型仿真器20芯仿真插座34芯仿真插座電源指示燈電源插座邏輯測試鉤插座9芯串口插座 主機電源開關(guān)J3J4J1J2 說明:1 仿真器使用9針串行口,與PC機用兩頭為孔的串行電纜連接。對于一些只有USB口而沒有串口的計算機,可以使用USB轉(zhuǎn)串口電纜將USB轉(zhuǎn)成串行口。串行電纜內(nèi)部連接為:15155325322 根據(jù)仿真器型號不同,邏輯測試鉤插座可能只有一個。3 根據(jù)仿真器型號不同,可能會沒有20芯仿真電纜插座。4 電源為直流5V/1A(最?。娫床蹇椎臉O性為內(nèi)“正”外“負”。E6000L/E6000T/E6000S型仿真器仿真器型

13、號功能E6000/S通用仿真器(1-16位,15M總線速度)硬件測試儀運行時間統(tǒng)計邏輯筆(選配件)WINDOWS版本、DOS版本雙平臺、支持Keil uVision 開發(fā)環(huán)境E6000/T含E6000/S所有功能事件斷點、斷點記數(shù)跟蹤器影子存儲器全空間程序/數(shù)據(jù)時效分析器E6000/L含E6000/T所有功能邏輯分析儀 (測試鉤為選配件)可編程波形發(fā)生器E6000系列仿真可配置仿真頭仿真頭型號可仿真CPUPOD8X5XP8X5X系列(P0口和P2口作為總線或IO口用)PODH8X5XPhilips 40腳及44腳51系列芯片及通用8X5X芯片POD520PDalas310/320/510/52

14、0/華邦77E58POD518X5X系列CPU(P0口和P2口作為總線用)POD20512051、1051系列CPU(需與POD8X5XP配合使用)POD552Philips 80C552(P0口和P2口做為總線)POD592Philips 80C592(P0口和P2口做為總線)PODLPC93X Philips LPC93X PODLPC76X Philips LPC76X POD196KC196KC/KB/KDPOD196MC196MC/MD/MHPOD16C5XPPIC16C52/54/55/56/57/58、PIC12C508/509POD16C67XPPIC16C61/62/63/6

15、4/65/67、PIC16C71/72/73/74/76/77POD16C71XPIC16C71X全系列POD16C8XPIC16C83/84PODH591Philips P87C591 芯片新仿真頭 偉福公司將為E6000系列開發(fā)新的仿真頭三、課程實驗項目及要求 軟件實驗一 存儲器塊清零一、 實驗要求指定存儲器中某塊的起始地址和長度,要求能將其內(nèi)容清零。二、 實驗目的1. 掌握存儲器讀寫方法2. 了解存儲器的塊操作方法三、實驗說明通過本實驗,學生可以了解單片機讀寫存儲器的讀寫方法,同時也可以了解單片機編程,調(diào)試方法。如何將存儲器塊的內(nèi)容置成某固定值(例全填充為0FFH)? 請學生修改程序,完

16、成此操作。設(shè)置塊長度設(shè)置塊起始地址地址加1當前地址內(nèi)容清零是否清除完結(jié)束是否四、程序框圖軟件實驗二 二進制到BCD轉(zhuǎn)換一、 實驗要求將給定的一個二進制數(shù),轉(zhuǎn)換成二十進制(BCD)碼二、 實驗目的1. 掌握簡單的數(shù)值轉(zhuǎn)換算法2. 基本了解數(shù)值的各種表達方法三、 實驗說明計算機中的數(shù)值有各種表達方式,這是計算機的基礎(chǔ)。掌握各種數(shù)制之間的轉(zhuǎn)換是一種基本功。有興趣的同學可以試試將BCD轉(zhuǎn)換成二進制碼。四、 程序框圖給出要轉(zhuǎn)換的二進制數(shù)將數(shù)除以100,得百位數(shù)保存百位數(shù)將余數(shù)再除以10,得十位數(shù)保存十位數(shù)余數(shù)為個位數(shù),將其保存結(jié)束軟件實驗三 內(nèi)存塊移動一、 實驗要求將指定源地址和長度的存儲塊移到指定目標

17、位置二、 實驗目的1 了解內(nèi)存的移動方法。2 加深對存儲器讀寫的認識。3三、 實驗說明塊移動是計算機常用操作之一,多用于大量的數(shù)據(jù)復制和圖象操作。本程序是給出起始地址,用地址加一方法移動塊,請思考給出塊結(jié)束地址,用地址減一方法移動塊的算法。另外,若源塊地址和目標塊地址有重疊,該如何避免?四、程序框圖設(shè)置塊的源地址設(shè)置塊的目標地址設(shè)置移動長度從源地址取數(shù)保存到目標地址中源地址加1目標地址加1是否移動完結(jié)束是否軟件實驗四 程序跳轉(zhuǎn)表一、 實驗要求在多分支結(jié)構(gòu)的程序中,能夠按調(diào)用號執(zhí)行相應的功能,完成指定操作。二、 實驗目的1. 了解程序的多分支結(jié)構(gòu)2. 了解多分支結(jié)構(gòu)程序的編程方法三、實驗說明多分

18、支結(jié)構(gòu)是程序中常見的結(jié)構(gòu),若給出調(diào)用號來調(diào)用子程序,一般用查表方法,查到子程序的地址,轉(zhuǎn)到相應子程序。四、程序框圖設(shè)置調(diào)用號根據(jù)調(diào)用號查表得到跳轉(zhuǎn)地址跳轉(zhuǎn)到相應程序段調(diào)用返回軟件實驗五 數(shù)據(jù)排序一、 實驗要求給出一組隨機數(shù),將此組數(shù)據(jù)排序,使之成為有序數(shù)列二、 實驗目的 1. 了解數(shù)據(jù)排序的簡單算法。三、 實驗說明有序的數(shù)列更有利于查找。本程序用的是“冒泡排序”法,算法是將一個數(shù)與后面的數(shù)相比較,如果比后面的數(shù)大,則交換,如此將所有的數(shù)比較一遍后,最大的數(shù)就會在數(shù)列的最后面。再進行下一輪比較,找出第二大數(shù)據(jù),直到全部數(shù)據(jù)有序。四、程序框圖交換A,B數(shù)位置設(shè)置數(shù)據(jù)區(qū)地址設(shè)置數(shù)據(jù)區(qū)長度清除交換標志

19、當前地址取數(shù)A地址加1當前地址取數(shù)B結(jié)束AB?設(shè)置交換標志數(shù)據(jù)比較完全部有序?是否否是是否硬件實驗一 P1口輸入、輸出實驗一、實驗要求1. P1口做輸出口,接八只發(fā)光二極管,編寫程序,使發(fā)光二極管循環(huán)點亮。2. P1.0、P1.1作輸入口接兩個撥動開關(guān),P1.2、P1.3作輸出口,接兩個發(fā)光二極管,編寫程序讀取開關(guān)狀態(tài),將此狀態(tài),在發(fā)光二極管上顯示出來。編程時應注意P1.0、P1.1作為輸入口時應先置1,才能正確讀入值。二、實驗目的3. 學習P1口的使用方法。4. 學習延時子程序的編寫和使用。三、實驗電路及連線連線連接孔1連接孔21S0P1.02S1P1.13P1.2L44P1.3L5實驗2:

20、 P1口輸入輸出連線連接孔1連接孔21P1.0L02P1.1L13P1.2L24P1.3L3實驗1: P1口循環(huán)點燈四、實驗說明a) P1口是準雙向口。它作為輸出口時與一般的雙向口使用方法相同。由準雙向口結(jié)構(gòu)可知當P1口用為輸入口時,必須先對它置“1”。若不先對它置“1”,讀入的數(shù)據(jù)是不正確的。Delay:MOV R6,#0HMOV R7, #0HDelayLoop:DJNZ R6,DelayLoopDJNZ R7,DelayLoopRETb) 8051延時子程序的延時計算問題,對于程序查指令表可知MOV,DJNZ指令均需用兩個機器周期,在6MHz晶振時,一個機器周期時間長度為12/6MHZ,

21、所以該段程序執(zhí)行時間為:(256255+2)2126 261ms五、實驗框圖(A) P1口循環(huán)點燈程序框圖(B) P1口輸入輸出程序框圖硬件實驗二 繼電器控制實驗一、實驗要求用單片機的端口,輸出電平控制繼電器的吸合和斷開,實現(xiàn)對外部裝置的控制。二、實驗目的1. 學習I/O端口的使用方法2. 掌握繼電器的控制的基本方法3. 了解用弱電控制強電的方法 三、實驗電路及連線連線連接孔1連接孔21P1.0繼電器輸入25V繼電器常閉輸入3L0繼電器中間輸入四、實驗說明現(xiàn)代自動控制設(shè)備中,都存在一個電子電路與電氣電路的互相連接問題,一方面要使電子電路的控制信號能夠控制電氣電路的執(zhí)行元件(電動機,電磁鐵,電燈

22、等),另一方面又要為電子線路的電氣電路提供良好的電氣隔離,以保護電子電路和人身的安全。繼電器便能完成這一橋梁作用。本實驗采用的繼電器其控制電壓是5V,控制端為高電平時,繼電器工作常開觸點吸合,連觸點的LED燈被點亮。當控制端為低電平時,繼電器不工作。執(zhí)行時,對應的LED將隨繼電器的開關(guān)而亮滅。五、實驗框圖開始繼電器吸合延時繼電器斷開延時硬件實驗三 外部中斷實驗一、實驗要求用單次脈沖申請中斷,在中斷處理程序中對輸出信號進行反轉(zhuǎn)。二、實驗目的1、學習外部中斷技術(shù)的基本使用方法。2、 學習中斷處理程序的編程方法。單次脈沖三、實驗電路及連線連線連接孔1連接孔21P1.0L02單脈沖輸出INT0 四、實

23、驗說實明中斷服務程序的關(guān)鍵是: 1.保護進入中斷時的狀態(tài),并在退出中斷之前恢復進入時的狀態(tài)。2.必須在中斷程序中設(shè)定是否允許中斷重入,即設(shè)置EXO位。本例中使用了INTO中斷,一般中斷程序進入時應保護PSW,ACC以及中斷程序使用但非其專用的寄存器。本例的中斷程序保護了PSW,ACC等三個寄存器并且在退出前恢復了這三個寄存器。另外中斷程序中涉及到關(guān)鍵數(shù)據(jù)的設(shè)置時應關(guān)中斷,即設(shè)置時不允許重入。本例中沒有涉及這種情況。INTO(P32)端接單次脈沖發(fā)生器。P1.0接LED燈,以查看信號反轉(zhuǎn).五、實驗框圖 主程序框圖外部中斷子程序框圖硬件實驗四 定時器實驗一、實驗要求用CPU內(nèi)部定時器中斷方式計時,

24、實現(xiàn)每一秒鐘輸出狀態(tài)發(fā)生一次反轉(zhuǎn).二、實驗目的 1、學習8031內(nèi)部計數(shù)器的使用和編程方法。 2、進一步掌握中斷處理程序的編程方法。三、實驗電路及連線連線連接孔1連接孔21P1.0L0 四、實驗說明1、關(guān)于內(nèi)部計數(shù)器的編程主要是定時常數(shù)的設(shè)置和有關(guān)控制寄存器的設(shè)置。內(nèi)部計數(shù)器在單片機中主要有定時器和計數(shù)器兩個功能。本實驗使用的是定時器。2、定時器有關(guān)的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于設(shè)置定時器/計數(shù)器的工作方式0-3,并確定用于定時還是用于計數(shù)。TCON主要功能是為定時器在溢出時設(shè)定標志位,并控制定時器的運行或停止等。3、內(nèi)部計數(shù)器用作定時器時,是對機器周期計數(shù)

25、。每個機器周期的長度是12個振蕩器周期。因為實驗系統(tǒng)的晶振是6MHZ,本程序工作于方式2,即8位自動重裝方式定時器, 定時器100us中斷一次, 所以定時常數(shù)的設(shè)置可按以下方法計算:機器周期=126MHZ=2uS(256-定時常數(shù))2uS=100us定時常數(shù)=206. 然后對100us中斷次數(shù)計數(shù)10000次,就是1秒鐘.4、在例程的中斷服務程序中,因為中斷定時常數(shù)的設(shè)置對中斷程序的運行起到關(guān)鍵作用,所以在置數(shù)前要先關(guān)對應的中斷,置數(shù)完之后再打開相應的中斷。五、實驗框圖 主程序框圖定時中斷子程序框圖硬件實驗五 計數(shù)器實驗一、實驗要求8031內(nèi)部定時計數(shù)器T0,按計數(shù)器模式和方式1工作,對P3.

26、4(T0)引腳進行計數(shù)。將其數(shù)值按二進制數(shù)在P1口驅(qū)動LED燈上顯示出來。二、實驗目的1、學習8031內(nèi)部定時/計數(shù)器使用方法。三、實驗電路及連線連線連接孔1連接孔21P1.0L02P1.1L13P1.2L24P1.3L35單脈沖輸出T0單次脈沖四、實驗說明1 本實驗中內(nèi)部計數(shù)器起計數(shù)器的作用。外部事件計數(shù)脈沖由P3.4引入定時器T0。單片機在每個機器周期采樣一次輸入波形,因此單片機至少需要兩個機器周期才能檢測到一次跳變。這就要求被采樣電平至少維持一個完整的機器周期,以保證電平在變化之前即被采樣。同時這就決定了輸入波形的頻率不能超過機器周期頻率。五、 實驗框圖計數(shù),輸出計數(shù)值設(shè)置工作方式開始計

27、數(shù)開始硬件實驗六 串行數(shù)轉(zhuǎn)換并行數(shù)實驗一、實驗要求利用單片機的串行口和I/O端口串行輸出,利用74LS164移位轉(zhuǎn)換成并行數(shù)據(jù),接在LED燈上顯示。74LS164置于通用插座上。二、實驗目的1、 掌握8031串行口方式0工作方式及編程方法。2、 掌握用8031的P1口的I/O功能,輸出串行數(shù)據(jù)。3、掌握利用串行口入I/O口,擴展I/O通道的方法。三、實驗電路及連線74LS164 插入40腳鎖緊座連線連接孔1連接孔21L0164-3腳2L1164-4腳3L2164-5腳4L3164-6腳5L4164-10腳6L5164-11腳7L6164-12腳8L7164-13腳9RXD164-1腳10RXD

28、164-2腳11TXD164-8腳12RST_164-9腳13GND164-7腳14VCC164-14腳連線連接孔1連接孔21L0164-3腳2L1164-4腳3L2164-5腳4L3164-6腳5L4164-10腳6L5164-11腳7L6164-12腳8L7164-13腳9P1.0164-1腳10P1.0164-2腳11P1.1164-8腳12RST_164-9腳13GND164-7腳14VCC164-14腳 1、用串口方式0,串行輸出數(shù)據(jù)/時鐘 2、用I/O口串行輸出數(shù)據(jù)/時鐘四、實驗說明串行口工作在方式0時,可通過外接移位寄存器實現(xiàn)串并行轉(zhuǎn)換。在這種方式下,數(shù)據(jù)為8位,只能從RXD端輸

29、入輸出,TXD端總是輸出移位同步時鐘信號,其波特率固定為Fosc/12。在CPU將數(shù)據(jù)寫入SBUF寄存器后,立即啟動發(fā)送。待8位數(shù)據(jù)輸完后,硬件將狀態(tài)寄存器的TI位置1,TI必須由軟件清零。用串行口工作方式0輸出數(shù)據(jù)/時鐘,是自動移位輸出,用P1端口串行輸出數(shù)據(jù)時,要編程移位數(shù)據(jù),輸出數(shù)據(jù)/時鐘。開始五、實驗框圖開始設(shè)置串口工作方式給出數(shù)據(jù)移位次數(shù)設(shè)為8給出數(shù)據(jù)數(shù)據(jù)移出一位輸出到串口輸出時鐘等待否一個字節(jié)移完全部輸出否是是將移出數(shù)據(jù)位輸出清輸出標志延時延時給出另一數(shù)據(jù)給出另一數(shù)據(jù) (A) 串口方式0輸出 程序框圖 (B)P1口輸出數(shù)據(jù)/時鐘 程序框圖硬件實驗七 并行數(shù)轉(zhuǎn)換串行數(shù)實驗一、實驗要求

30、將外接的并行數(shù)利用74LS165讀入,并且移位轉(zhuǎn)換成串行數(shù),利用單片機串行口和P1口串行讀入。74LS165置于通用插座上。二、實驗目的1、 掌握8031串行口方式0工作方式及編程方法。2、 掌握用8031的P1口的I/O功能,讀入串行數(shù)據(jù)。3、掌握利用串行口及I/O口,擴展I/O通道的方法。三、實驗電路及連線74LS165 插入40腳鎖緊座連線連接孔1連接孔21K0165-11腳2K1165-12腳3K2165-13腳4K3165-14腳5K4165-3腳6K5165-4腳7K6165-5腳8K7165-6腳9RXD165-9腳10TXD165-2腳11P1.0165-1腳12RST_165

31、-9腳13GND165-8腳14GND165-15腳15VCC165-16腳 連線連接孔1連接孔21K0165-11腳2K1165-12腳3K2165-13腳4K3165-14腳5K4165-3腳6K5165-4腳7K6165-5腳8K7165-6腳9P1.1165-9腳10P1.2165-2腳11P1.0165-1腳12RST_165-9腳13GND165-8腳14GND165-15腳15VCC165-16腳1、用串口方式0,串行輸入數(shù)據(jù)/時鐘 2、用I/O口串行輸入數(shù)據(jù)/時鐘四、實驗說明與上個實驗一樣,這個實驗主要是用串并轉(zhuǎn)換方法擴展I/O口。串行口工作在方式0時,可通過外接移位寄存器實現(xiàn)

32、串并行轉(zhuǎn)換。在這種方式下,數(shù)據(jù)為8位,只能從RXD端輸入輸出,TXD端總是輸出移位同步時鐘信號,其波特率固定為晶振頻率Fosc/12。由軟件置位串行控制寄存器的允許接收位(REN)后,才啟動串行接收。待8位數(shù)據(jù)收完后,硬件將狀態(tài)寄存器的RI位置1,RI必須由軟件清零。用串行口工作方式0讀入數(shù)據(jù),是自動移位完成的,用P1端口串行讀入數(shù)據(jù)時,要編程輸出時鐘信號,移位讀入數(shù)據(jù)。五、實驗框圖開始數(shù)據(jù)置入外接移位寄存器設(shè)置串口工作方式數(shù)據(jù)置入外接移位寄存器移位次數(shù)設(shè)為8置REN位,開始接收數(shù)據(jù)移入一位輸出時鐘等待否一個字節(jié)移完全部讀入否是是結(jié)束清RI標志結(jié)束 (A) 串口方式0讀入 程序框圖 (B)P1

33、口讀入數(shù)據(jù) 程序框圖開始硬件實驗八 單片機串行口通訊實驗一、實驗要求利用單片機串行口,實現(xiàn)兩個實驗臺之間的串行通訊。其中一個實驗臺作為發(fā)送方,另一側(cè)為接收方。發(fā)送方讀入按鍵值,并發(fā)送給接收方,接收方收到數(shù)據(jù)后在LED上顯示。二、實驗目的 1、掌握單片機串行口工作方式的程序設(shè)計,及簡易三線式通訊的方法。 2、了解實現(xiàn)串行通訊的硬環(huán)境、數(shù)據(jù)格式的協(xié)議、數(shù)據(jù)交換的協(xié)議。 3、學習串口通訊的中斷方式的程序編寫方法。三、實驗電路 顯示電路和鍵盤電路見硬件實驗十六和硬件實驗十七。 甲方 乙方連線連接孔1連接孔21甲方TXD乙方RXD2甲方RXD乙方TXD3甲方GND乙方GND4KEY/LED_CSCS0四

34、、實驗說明1、8051的RXD、TXD接線柱在POD51仿真板上。2、通訊雙方的RXD、TXD信號本應經(jīng)過電平轉(zhuǎn)換后再行交叉連接,本實驗中為減少連線可將電平轉(zhuǎn)換電路略去,而將雙方的RXD、TXD直接交叉連接。也可以將本機的TXD接到RXD上,這樣按下的鍵,就會在本機LED上顯示出來。3、若想與標準的RS232設(shè)備通信,就要做電平轉(zhuǎn)換,輸出時要將TTL電平換成RS232電平,輸入時要將RS232電平換成TTL電平??梢詫⒎抡姘迳系腞XD、TXD信號接到實驗板上的“用戶串口接線”的相應RXD和TXD端,經(jīng)過電平轉(zhuǎn)換,通過“用戶串口”接到外部的RS232設(shè)備??梢杂脤嶒瀮x上的邏輯分析儀采樣串口通信的

35、波形五、實驗框圖 硬件實驗九 用74LS245讀入數(shù)據(jù)一、實驗要求 利用板上的集成電路插座,擴展一片74LS245,來讀入開關(guān)狀態(tài)。二、實驗目的1、 了解CPU常用的端口連接總線的方法。2、 掌握74LS245進行數(shù)據(jù)讀入或輸出。三、實驗電路及連線連線連接孔1連接孔21K0245-18腳2K1245-17腳3K2245-16腳4K3245-15腳5K4245-14腳6K5245-13腳7K6245-12腳8K7245-11腳9CS0CS245四、實驗說明一般情況下,CPU的總線會掛有很多器件,如何使這些器件不造成沖突,這就要使用一些總線隔離器件,例如74LS245就是一種。74LS245是三態(tài)

36、總線收發(fā)器,利用它既可以輸出也可輸入數(shù)據(jù)。本實驗74LS245的片選地址為CSO,即8000H,讀這個地址,就是從74LS245讀回開關(guān)的值??梢杂脝尾降姆绞綀?zhí)行程序,改變開關(guān)狀態(tài),觀察讀回的值。五、實驗程序框圖開始讀入74LS245的值硬件實驗十 用74LS273輸出數(shù)據(jù)一、實驗要求在通用插座上擴展一片74LS273作為輸出口,控制八個LED燈。二、實驗目的1、學習在單片機系統(tǒng)中擴展簡單I/O接口的方法。2、學習數(shù)據(jù)輸出程序的設(shè)計方法。3、了解數(shù)據(jù)鎖存的概念和方法。三、實驗電路及連接連線連接孔1連接孔21L0373-2腳2L1373-5腳3L2373-6腳4L3373-9腳5L4373-12

37、腳6L5373-15腳7L6373-16腳8L7373-19腳9CS0CS273四、實驗說明因為本實驗是用74LS273擴展IO端口。方法是:通過片選信號和寫信號將數(shù)據(jù)總線上的值鎖存在273中,同時在273的輸出端品輸出,當數(shù)據(jù)總線上的值撤消以后,由于273能夠鎖存信號,所以273的輸出端保持不變,直到下次有新的數(shù)據(jù)被鎖存。本實驗中,在數(shù)據(jù)輸出同時輸出片選信號和寫信號。五、實驗框圖設(shè)置片選地址設(shè)置數(shù)據(jù)初值輸出數(shù)據(jù)數(shù)據(jù)移位延時硬件實驗十一 8255輸入、輸出實驗一、實驗要求利用8255可編程并行口芯片,實現(xiàn)輸入/輸出實驗,實驗中用8255PA口作輸出,PB口作輸入。二、實驗目的1、了解8255芯

38、片結(jié)構(gòu)及編程方法。2、了解8255輸入/輸出實驗方法。三、實驗電路及連線連線連接孔1連接孔21CS08255CS2L08255-PA03L18255-PA14L28255-PA25L38255-PA36L48255-PA47L58255-PA58L68255-PA69L78255-PA710K08255-PB011K18255-PB112K28255-PB213K38255-PB314K48255-PB415K58255-PB516K68255-PB617K78255-PB78255的CS/接地址譯碼/CS0,則命令字地址為8003H,PA口地址為8000H,PB口地址為8001H,PC口地址

39、為8002H。PA0-PA7(PA口)接LED0-LED7(LED)PB0-PB7(PB口)接K0-K7(開關(guān)量)。數(shù)據(jù)線、讀/寫控制、地址線、復位信號板上已接好。 四、實驗說明 可編程通用接口芯片8255A有三個八位的并行I/O口,它有三種工作方式。本實驗采用的是方式0:PA,PC口輸出,PB口輸入。很多I/O實驗都可以通過8255來實現(xiàn)。 五、實驗框圖開始延時置8255工作方式置8255PA口數(shù)據(jù)左移延時開始延時置8255工作方式讀8255PB口置8255PA口硬件實驗十二 外部中斷(急救車與交通燈)一、實驗要求本實驗模擬交通信號燈控制,一般情況下正常顯示,有急救車到達時,兩個方向交通信號

40、燈全紅,以便讓急救車通過。設(shè)急救車通過路口時間為10秒,急救車通過后,交通恢復正常,本實驗用單次脈沖申請外部中斷,表示有急救車通過。二、實驗目的1、學習外部中斷技術(shù)的基本使用方法。2、學習中斷處理程序的編程方法。 LED3、LED4、LED5分別表示東西方向紅、黃、綠燈LED0、LED1、LED2分別表示南北方向紅、黃、綠燈單次脈沖三、實驗電路及連線 連線連接孔1連接孔21P1.0L02P1.1L13P1.2L24P1.3L35P1.4L46P1.5L57單脈沖輸出INT0(51)四、實驗說明中斷服務程序的關(guān)鍵是: 1、保護進入中斷時的狀態(tài),并在退出中斷之前恢復進入時的狀態(tài)。2、必須在中斷程序

41、中設(shè)定是否允許中斷重入,即設(shè)置EXO位。本例中使用了INTO中斷,一般中斷程序進入時應保護PSW,ACC以及中斷程序使用但非其專用的寄存器。本例的中斷程序保護了PSW,ACC等三個寄存器并且在退出前恢復了這三個寄存器。另外中斷程序中涉及到關(guān)鍵數(shù)據(jù)的設(shè)置時應關(guān)中斷,即設(shè)置時不允許重入。本例中沒有涉及這種情況。對于8051CPU 外部中斷由INTO(P32)端接入。中斷信號由單次脈沖發(fā)生器產(chǎn)生。對中斷的處理可參見硬件實驗十一。五、實驗框圖外部中斷入口開始開外中斷保護現(xiàn)場初始狀態(tài)東西南北都是紅燈東西,南北都亮紅燈延時10秒狀態(tài)1南北綠燈,東西紅燈恢復現(xiàn)場狀態(tài)2南北綠燈閃轉(zhuǎn)黃燈,東西紅燈中斷返回狀態(tài)3

42、南北紅燈,東西綠燈狀態(tài)4南北紅燈,東西綠燈閃轉(zhuǎn)黃燈 主程序框圖 外部中斷子程序框圖硬件實驗十三 D/A轉(zhuǎn)換實驗一、實驗要求利用DAC0832,編制程序產(chǎn)生鋸齒波、三角波、正弦波。三種波輪流顯示,用示波器觀看。二、實驗目的1、了解D/A轉(zhuǎn)換的基本原理。 2、了解D/A轉(zhuǎn)換芯片0832的性能及編程方法。3、了解單片機系統(tǒng)中擴展D/A轉(zhuǎn)換的基本方法。三、實驗電路及連線連線連接孔1連接孔21DA_CSCS22-5V-+5V電壓表CS0用電壓表或示波器探頭接-5V+5V輸出,觀察顯示電壓或波形。四、實驗說明 1、D/A轉(zhuǎn)換是把數(shù)字量轉(zhuǎn)換成模擬量的變換,實驗臺上D/A電路輸出的是模擬電壓信號。要實現(xiàn)實驗要

43、求,比較簡單的方法是產(chǎn)生三個波形的表格,然后通過查表來實現(xiàn)波形顯示。2、產(chǎn)生鋸齒波和三角波的表格只需由數(shù)字量的增減來控制,同時要注意三角波要分段來產(chǎn)生。要產(chǎn)生正弦波,較簡單的方法是造一張正弦數(shù)字量表。即查函數(shù)表得到的值轉(zhuǎn)換成十六進制數(shù)填表。D/A轉(zhuǎn)換取值范圍為一個周期,采樣點越多,精度越高些。本例采用的采樣點為256點/周期。3、8位D/A轉(zhuǎn)換器的輸入數(shù)據(jù)與輸出電壓的關(guān)系為U(0-5V)=Uref/256NU(-5V+5V)=2Uref/256N-5V (這里 Uref為+5V)五、實驗框圖硬件實驗十四 A/D轉(zhuǎn)換實驗一、實驗要求利用實驗板上的ADC0809做A/D轉(zhuǎn)換器,實驗板上的電位器提供

44、模擬量輸入,編制程序,將模擬量轉(zhuǎn)換成二進制數(shù)字量,用8255的PA口輸出到發(fā)光二極管顯示。二、實驗目的1、 掌握A/D轉(zhuǎn)換與單片機的接口方法。2、 了解A/D芯片ADC0809轉(zhuǎn)換性能及編程。3、 通過實驗了解單片機如何進行數(shù)據(jù)采集。三、實驗電路及連線CS0電位器連線連接孔1連接孔21IN0電位器輸出2AD_CSCS03EOCINT048255_CSCS15PA0L06PA1L17PA2L28PA3L39PA4L410PA5L511PA6L612PA7L7四、實驗說明A/D轉(zhuǎn)換器大致有三類:一是雙積分A/D轉(zhuǎn)換器,優(yōu)點是精度高,抗干擾性好;價格便宜,但速度慢;二是逐次逼近A/D轉(zhuǎn)換器,精度,速

45、度,價格適中;三是并行A/D轉(zhuǎn)換器,速度快,價格也昂貴。實驗用的ADC0809屬第二類,是八位A/D轉(zhuǎn)換器。每采集一次一般需100us。本程序是用延時查詢方式讀入A/D轉(zhuǎn)換結(jié)果,也可以用中斷方式讀入結(jié)果,在中斷方式下,A/D轉(zhuǎn)換結(jié)束后會自動產(chǎn)生EOC信號,將其與CPU的外部中斷相接,有興趣的同學可以試試編程用中斷方式讀回A/D結(jié)果.五、實驗框圖主程序框圖硬件實驗十五 八段數(shù)碼管顯示一、實驗要求利用實驗儀提供的顯示電路,動態(tài)顯示一行數(shù)據(jù).二、實驗目的1. 了解數(shù)碼管動態(tài)顯示的原理。2. 了解用總線方式控制數(shù)碼管顯示三、實驗線路及連線連線連接孔1連接孔21KEY/LED_CSCS0四、實驗說明本實

46、驗儀提供了6 位8段碼LED顯示電路,學生只要按地址輸出相應數(shù)據(jù),就可以實現(xiàn)對顯示器的控制。顯示共有6位,用動態(tài)方式顯示。8位段碼、6位位碼是由兩片74LS374輸出。位碼經(jīng)MC1413或ULN2003倒相驅(qū)動后,選擇相應顯示位。本實驗儀中 8位段碼輸出地址為0X004H,位碼輸出地址為 0X002H。此處X是由KEY/LED CS 決定,參見地址譯碼。做鍵盤和LED實驗時,需將KEY/LED CS 接到相應的地址譯碼上。以便用相應的地址來訪問。例如,將KEY/LED CS 接到CS0上,則段碼地址為08004H,位碼地址為08002H。 七段數(shù)碼管的字型代碼表如下表: a f b g e c

47、 d 。dp顯示字形gfedcba段碼001111113fh1000011006h210110115bh310011114fh4110011066h511011016dh611111017dh7000011107h811111117fh911011116fhA111011177hb11111007chC011100139hd10111105ehE111100179hF111000171h五、程序框圖硬件實驗十六 鍵盤掃描顯示實驗一、實驗要求在上一個實驗的基礎(chǔ)上,利用實驗儀提供的鍵盤掃描電路和顯示電路,做一個掃描鍵盤和數(shù)碼顯示實驗,把按鍵輸入的鍵碼在六位數(shù)碼管上顯示出來。實驗程序可分成三個模塊。

48、鍵輸入模塊:掃描鍵盤、讀取一次鍵盤并將鍵值存入鍵值緩沖單元。顯示模塊:將顯示單元的內(nèi)容在顯示器上動態(tài)顯示。主程序:調(diào)用鍵輸入模塊和顯示模塊。二、實驗目的1、掌握鍵盤和顯示器的接口方法和編程方法。2、掌握鍵盤掃描和LED八段碼顯示器的工作原理。三、實驗電路及連線 這里只是鍵盤草圖,詳細原理參見圖1連線連接孔1連接孔21KEY/LED_CSCS0數(shù)據(jù)總線讀回行碼(0X001H) 列碼(0X002H)四、實驗說明本實驗儀提供了一個64的小鍵盤,向列掃描碼地址(0X002H)逐列輸出低電平,然后從行碼地址(0X001H)讀回。如果有鍵按下,則相應行的值應為低,如果無鍵按下,由于上拉的作用,行碼為高。這

49、樣就可以通過輸出的列碼和讀取的行碼來判斷按下的是什么鍵。在判斷有鍵按下后,要有一定的延時,防止鍵盤抖動。地址中的X是由KEY/LED CS 決定,參見地址譯碼。做鍵盤和LED實驗時,需將KEY/LED CS 接到相應的地址譯碼上。以便用相應的地址來訪問。例如將KEY/LED CS信號接CS0上,則列掃描地址為08002H,行碼地址為08001H。列掃描碼還可以分時用作LED的位選通信號。五、實驗框圖初始化地址參數(shù)顯示緩沖區(qū)初始化開始開始輸出列掃描信號 LED顯示有鍵輸入?列掃描信號移位 否讀入行信號 是讀取鍵值是該列有鍵輸入?否鍵值轉(zhuǎn)換為顯示數(shù)據(jù)否6列掃描完?是 主程序框圖 返回 顯示程序框圖

50、見前個實驗按照行列計算鍵值查表得鍵碼等待按鍵釋放返回 讀鍵輸入子程序框圖硬件實驗十七 電子時鐘一、實驗要求利用CPU的定時器和實驗儀上提供的數(shù)碼顯示電路,設(shè)計一個電子時鐘。格式如下:XX XX XX 由左向右分別為:時、分、秒二、實驗目的1、進一步掌握定時器的使用和編程方法。2、進一步掌握中斷處理程序的編程方法。3、進一步掌握數(shù)碼顯示電路的驅(qū)動方法。三、實驗電路及連線連線連接孔1連接孔21KEY/LED_CSCS0本實驗連線只需接上顯示/鍵盤的選擇信號即可。顯示電路見第4頁的圖1.四、實驗說明定時器每100us中斷一次,在中斷服務程序中,對中斷次數(shù)進行計數(shù),100us計數(shù)10000次就是1秒。然后再對秒計數(shù)得到分和小時值,并送入顯示緩沖區(qū)。顯示子程序模塊可參照硬件實驗十六和硬件實驗十七。

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔

相關(guān)搜索

關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!