課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真

上傳人:無*** 文檔編號:46509358 上傳時間:2021-12-13 格式:DOC 頁數(shù):51 大?。?.34MB
收藏 版權(quán)申訴 舉報 下載
課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真_第1頁
第1頁 / 共51頁
課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真_第2頁
第2頁 / 共51頁
課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真_第3頁
第3頁 / 共51頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真》由會員分享,可在線閱讀,更多相關(guān)《課程設(shè)計論文PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真(51頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、目 錄一、設(shè)計目的4二、設(shè)計內(nèi)容及要求.4三、開發(fā)環(huán)境簡介4四、設(shè)計原理54.1 CDMA通信系統(tǒng)簡介.54.1.1 CDMA通信系統(tǒng)概述.54.1.2 CDMA通信系統(tǒng)特點.64.1.3 CDMA通信系統(tǒng)優(yōu)勢.74.2 CDMA技術(shù)基礎(chǔ).84.2.1 擴(kuò)頻通信簡介84.2.2 擴(kuò)頻通信分類94.2.3 直接序列擴(kuò)頻.94.2.4 Walsh碼序列104.2.5 卷積碼.114.2.6 交織技術(shù).124.2.7 IS-95技術(shù)基礎(chǔ).13五、設(shè)計整體思路17六、詳細(xì)設(shè)計186.1 設(shè)計仿真中用到的符號及其功能說明.1862 前向?qū)ьl信道.206.2.1 理論基礎(chǔ).206.2.2 設(shè)計仿真.216

2、.3 前向同步信道.246.3.1 理論基礎(chǔ)246.3.2 設(shè)計仿真256.4 前向?qū)ず粜诺?276.4.1 理論基礎(chǔ).276.4.2 設(shè)計仿真296.5 前向業(yè)務(wù)信道.316.5.1 理論基礎(chǔ)316.5.2 設(shè)計仿真34七、設(shè)計仿真總框圖及參數(shù)設(shè)置.367.1 仿真中遇到的符號及其功能說明.367.2 CDMA前向鏈路基帶系統(tǒng)仿真367.2.1 理論基礎(chǔ).367.2.2 設(shè)計仿真.377.2.3 RAKE接收機(jī)子電路圖.40八、實驗中遇到的問題及解決方案438.1 關(guān)于繪制電路圖過程中的錯誤問題.438.2 關(guān)于采樣器的采樣模式問題.458.3 關(guān)于調(diào)制問題458.4 關(guān)于設(shè)計電路圖和資料中

3、的原理框圖不一致的問題458.5 關(guān)于仿真總電路圖的碼元反向問題46九、課程設(shè)計總結(jié)及心得.499.1 課程設(shè)計總結(jié) .499.2 課程設(shè)計心得體會 .50十、參考文獻(xiàn) .51一、設(shè)計目的用Systemview通信系統(tǒng)訪真軟件作為設(shè)計工具,完成通信系統(tǒng)的動態(tài)設(shè)計與仿真,該設(shè)計將使學(xué)生在綜合運用所學(xué)知識、解決本專業(yè)方向的實際問題方面得到系統(tǒng)性的訓(xùn)練。1. 了解SystemView的運行環(huán)境及應(yīng)用領(lǐng)域;2. 逐步熟悉各種通信系統(tǒng)的仿真,由簡到難;3. 運用所學(xué)對幾個實際系統(tǒng)的仿真進(jìn)行分析和比較。二、設(shè)計內(nèi)容及要求設(shè)計內(nèi)容:PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在SystemView上的仿真。設(shè)計要求:

4、1. 理解并掌握PSK直序擴(kuò)頻系統(tǒng)的基本原理及特點;2. 完成PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案在System View上的仿真。三、開發(fā)環(huán)境簡介1. 硬件環(huán)境:PC機(jī);軟件環(huán)境:System View 5.0。2. 軟件介紹:(1) SystemView是一個信號級的系統(tǒng)仿真軟件,主要用于電路和通信系統(tǒng)的設(shè)計、仿真,是一個強(qiáng)有力的動態(tài)系統(tǒng)分析工具,能滿足從數(shù)字信號處理、濾波器設(shè)計到復(fù)雜的通信系統(tǒng)等不同層的設(shè)計、仿真要求。(2) SystemView借助大家熟悉的Windows窗口環(huán)境,以模塊化合交互式的界面,為用戶提供了一個嵌入式的分析引擎。(3) 使用SystemView時,用戶只關(guān)心項目

5、的設(shè)計思想和過程,用鼠標(biāo)點擊圖標(biāo)即可完成復(fù)雜通信系統(tǒng)的設(shè)計、仿真、測試,而不用花費太多的精力去通過編程來建立通信仿真模型。3. System View 的特點:(1) 能仿真大量的應(yīng)用系統(tǒng)(2) 快速方便的動態(tài)系統(tǒng)設(shè)計與仿真(3) 在報告中快速方便的加入SystemView的結(jié)論(4) 提供基于組織圖結(jié)構(gòu)的設(shè)計(5) 多速率系統(tǒng)和并行系統(tǒng)的設(shè)計、(6) 完備的濾波器和線性系統(tǒng)設(shè)計(7) 先進(jìn)的信號分析和數(shù)據(jù)塊處理(8) 可擴(kuò)展性(9) 完善的自我診斷能力四、設(shè)計原理4.1 PSK直序擴(kuò)頻信號數(shù)字相關(guān)解調(diào)方案通信系統(tǒng)簡介4.1.1 CDMA通信系統(tǒng)概述:CDMA通信系統(tǒng)采用先進(jìn)的擴(kuò)頻技術(shù),實現(xiàn)了

6、碼分多址的應(yīng)用系統(tǒng)。當(dāng)前商用CDMA系統(tǒng)空中接口標(biāo)準(zhǔn)為IS-95,提供1.23MHz的無線載頻間隔;為防止干擾,不同的用戶分配不同的無線信道(頻率)或同一信道內(nèi)的不同碼;相同的無線信道能在相鄰小區(qū)或扇面使用;每扇面的話務(wù)容量為軟容量,不受頻率或收發(fā)信機(jī)數(shù)量的嚴(yán)格限制。CDMA系統(tǒng)中通過在給定時間內(nèi)傳送不同的碼來區(qū)分不同的基站,即基站傳送不同時間偏移的同一偽隨機(jī)碼。為了確保時間偏移的正確性,CDMA基站必須對公共時間參考點保持同步。CDMA系統(tǒng)借助全球定位系統(tǒng)(GPS)提供精確同步,在當(dāng)前的技術(shù)手段下,GPS是保證其達(dá)到預(yù)期頻譜效率的最后的同步手段。 CDMA是一種擴(kuò)頻技術(shù),它將包含有用信息的信

7、號擴(kuò)展成較大的寬帶,通過接收端的解調(diào)壓縮來獲取極大的信號增益和較高的信噪比。 CDMA系統(tǒng)能夠使移動臺同時與兩個或多個基站通信以實現(xiàn)小區(qū)間無縫切換,話音信道為先接后斷,大大減少了掉話率。只有Lucent真正做到交換機(jī)之間,交換機(jī)之內(nèi)所有基站實現(xiàn)全程軟切換。 CDMA保持設(shè)定的話音質(zhì)量,誤幀率,同時獲得最大頻譜效率手段。設(shè)定和控制反向Eb/No以控制誤幀數(shù)量;盡量減低手機(jī)發(fā)射功率(反向);盡量減低基站發(fā)射功率(前向);提供方法使運營者可以平衡系統(tǒng)容量與話音質(zhì)量的需要。 CDMA追求更高的頻譜效率和更好的通信質(zhì)量,是推動一切無線蜂窩技術(shù)前進(jìn)的根本之內(nèi)在驅(qū)動力,從FDMA到TDMA,再到CDMA,直

8、至要實現(xiàn)的第三代系統(tǒng)寬帶CDMA。4.1.2 CDMA通信系統(tǒng)特點:(1)采用了多種分集方式。除了傳統(tǒng)的空間分集外。由于是寬帶傳輸起到了頻率分集的作用,同時在基站和移動臺采用了RAKE接收機(jī)技術(shù),相當(dāng)于時間分集的作用。 (2)采用了話音激活技術(shù)和扇區(qū)化技術(shù)。因為CDMA系統(tǒng)的容量直接與所受的干擾有關(guān),采用話音激活和扇區(qū)化技術(shù)可以減少干擾,可以使整個系統(tǒng)的容量增大。 (3)采用了移動臺輔助的軟切換。通過它可以實現(xiàn)無縫切換,保證了通話的連續(xù)性,減少了掉話的可能性。處于切換區(qū)域的移動臺通過分集接收多個基站的信號,可以減低自身的發(fā)射功率,從而減少了對周圍基站的干擾,這樣有利于提高反向聯(lián)路的容量和覆蓋范

9、圍。 (4)采用了功率控制技術(shù),這樣降低了平準(zhǔn)發(fā)射功率。 (5)具有軟容量特性。可以在話務(wù)量高峰期通過提高誤幀率來增加可以用的信道數(shù)。當(dāng)相鄰小區(qū)的負(fù)荷一輕一重時,負(fù)荷重的小區(qū)可以通過減少導(dǎo)頻的發(fā)射功率,使本小區(qū)的邊緣用戶由于導(dǎo)頻強(qiáng)度的不足而切換到相臨小區(qū),分擔(dān)了話務(wù)量負(fù)擔(dān)。(6)兼容性好。由于CDMA的帶寬很大,功率分布在廣闊的頻譜上,功率話密度低,對窄帶模擬系統(tǒng)的干擾小,因此兩者可以共存。即兼容性好。 (7)CDMA的頻率利用率高,不需頻率規(guī)劃。 (8)CDMA高效率的OCELP話音編碼。話音編碼技術(shù)是數(shù)字通信中的一個重要課題。OCELP是利用碼表矢量量化差值的信號,并根據(jù)語音激活的程度產(chǎn)生

10、一個輸出速率可變的信號。這種編碼方式被認(rèn)為是目前效率最高的編碼技術(shù),在保證有較好話音質(zhì)量的前提下,大大提高了系統(tǒng)的容量。這種聲碼器具有8kbits和13kbits兩種速率的序列。8kbits序列從1.2kbits到9.6kbits可變,13kbits序列則從1.8kbts到14.4kbts可變。最近,又有一種8kbits EVRC型編碼器問世,也具有8kbits聲碼器容量大的特點,話音質(zhì)量也有了明顯的提高。4.1.3 CDMA通信系統(tǒng)優(yōu)勢:系統(tǒng)容量大理論上,在使用相同頻率資源的情況下,CDMA移動網(wǎng)比模擬網(wǎng)容量大20倍,實際使用中比模擬網(wǎng)大10倍,比GSM要大4-5倍。 系統(tǒng)容量的配置靈活在C

11、DMA系統(tǒng)中,用戶數(shù)的增加相當(dāng)于背景噪聲的增加,造成話音質(zhì)量的下降。但對用戶數(shù)并無限制,操作者可在容量和話音質(zhì)量之間折衷考慮。另外,多小區(qū)之間可根據(jù)話務(wù)量和干擾情況自動均衡。 這一特點與CDMA的機(jī)理有關(guān)。CDMA是一個自擾系統(tǒng),所有移動用戶都占用相同帶寬和頻率,打個比方,將帶寬想像成一個大房子,所有的人將進(jìn)入惟一的大房子。如果他們使用完全不同的語言,他們就可以清楚地聽到同伴的聲音而只受到一些來自別人談話的干擾。在這里,屋里的空氣可以被想像成寬帶的載波,而不同的語言即被當(dāng)作編碼,我們可以不斷地增加用戶直到整個背景噪音限制住了我們。如果能控制住用戶的信號強(qiáng)度,在保持高質(zhì)量通話的同時,我們就可以容

12、納更多的用戶。 通話質(zhì)量更佳TDMA的信道結(jié)構(gòu)最多只能支持4Kb的語音編碼器,它不能支持8Kb以上的語音編碼器。而CDMA的結(jié)構(gòu)可以支持13kb的語音編碼器。因此可以提供更好的通話質(zhì)量。CDMA系統(tǒng)的聲碼器可以動態(tài)地調(diào)整數(shù)據(jù)傳輸速率,并根據(jù)適當(dāng)?shù)拈T限值選擇不同的電平級發(fā)射。同時門限值根據(jù)背景噪聲的改變而變,這樣即使在背景噪聲較大的情況下,也可以得到較好的通話質(zhì)量。另外,TDMA采用一種硬移交的方式,用戶可以明顯地感覺到通話的間斷,在用戶密集、基站密集的城市中,這種間斷就尤為明顯,因為在這樣的地區(qū)每分鐘會發(fā)生2至4次移交的情形。而CDMA系統(tǒng)“掉話”的現(xiàn)象明顯減少,CDMA系統(tǒng)采用軟切換技術(shù),“

13、先連接再斷開”,這樣完全克服了硬切換容易掉話的缺點。 頻率規(guī)劃簡單用戶按不同的序列碼區(qū)分,所以,相同CDMA載波可在相鄰的小區(qū)內(nèi)使用,網(wǎng)絡(luò)規(guī)劃靈活,擴(kuò)展簡單。 雖然CDMA系統(tǒng)頻率規(guī)劃簡單,但CDMA系統(tǒng)存在著PN短碼的規(guī)劃,并且PN短碼的規(guī)劃相較頻率規(guī)劃并不一定更簡單。 總體來說CDMA的規(guī)劃并不簡單。相反,較之GSM系統(tǒng)要更為復(fù)雜。 建網(wǎng)成本低CDMA系統(tǒng)有著容量大、工作頻點較GSM低,因此,在CDMA規(guī)劃中,CDMA的站間距一般較GSM稀疏。因此可以更好的節(jié)約建網(wǎng)成本。 網(wǎng)絡(luò)綠色環(huán)保技術(shù)體制 平均發(fā)射功率 最大發(fā)射功率 GSM 125毫瓦 2瓦 CDMA 2毫瓦 200毫瓦 從以上數(shù)據(jù)可

14、以看到CDMA手機(jī)是GSM手機(jī)平均發(fā)射功率的2/125,所以CDMA手機(jī)更加綠色環(huán)保。 低功率譜密度由于CDMA的關(guān)鍵技術(shù)為擴(kuò)頻技術(shù),所以它的功率譜被擴(kuò)展的很寬,從而功率很低,好處有二: (1)防止其它信道的干擾; (2)防止干擾其它信道。4.2 CDMA技術(shù)基礎(chǔ)4.2.1擴(kuò)頻通信簡介:擴(kuò)頻通信,即擴(kuò)展頻譜通信(Spread Spectrum Communication)。它是指用來傳輸信息的射頻帶寬遠(yuǎn)大于信息本身帶寬的一種通信方式,它與光纖通信、衛(wèi)星通信,一同被譽(yù)為進(jìn)入信息時代的三大高技術(shù)通信傳輸方式。擴(kuò)頻通信是將待傳送的信息數(shù)據(jù)被偽隨機(jī)編碼(擴(kuò)頻序列:Spread Sequence)調(diào)制,

15、實現(xiàn)頻譜擴(kuò)展后再傳輸;接收端則采用相同的編碼進(jìn)行解調(diào)及相關(guān)處理,恢復(fù)原始信息數(shù)據(jù)。擴(kuò)頻通信方式與常規(guī)的窄道通信方式是有區(qū)別的: (1)信息的頻譜擴(kuò)展后形成寬帶傳輸; (2)相關(guān)處理后恢復(fù)成窄帶信息數(shù)據(jù)。正是由于這兩大特點,使擴(kuò)頻通信有如下的優(yōu)點: (1)抗干擾; (2)抗噪音;(3)抗多徑衰落; (4)具有保密性; (5)功率譜密度低,具有隱蔽性和低的截獲概率;(6)可多址復(fù)用和任意選址;(7)高精度測量等;由于擴(kuò)頻通信技術(shù)具有上述優(yōu)點,自50年代中期美國軍方便開始研究,一直為軍事通信所獨占,廣泛應(yīng)用于軍事通信、電子對抗以及導(dǎo)航、測量等各個領(lǐng)域。直到80年代初才被應(yīng)用于民用通信領(lǐng)域。為了滿足日

16、益增長的民用通信容量的需求和有效地利用頻譜資源,各國都紛紛提出在數(shù)字峰窩移動通信、衛(wèi)星移動通信和未來的個人通信中采用擴(kuò)頻技術(shù),擴(kuò)頻技術(shù)已廣泛應(yīng)用于蜂窩電話、無繩電話、微波通信、無線數(shù)據(jù)通信、遙測、監(jiān)控、報警等系統(tǒng)中。4.2.2擴(kuò)頻通信分類:直接序列擴(kuò)頻簡稱直擴(kuò)(DS)。所傳送的信息符號經(jīng)偽隨機(jī)序列(或稱偽噪聲碼)編碼后對載波進(jìn)行調(diào)制。偽隨機(jī)序列的速率遠(yuǎn)大于要傳送信息的速率,因而調(diào)制后的信號頻譜寬度將遠(yuǎn)大于所傳送信息的頻譜寬度。 跳頻擴(kuò)頻簡稱跳頻(FH)。載荷信息的載波信號頻率受偽隨機(jī)序列的控制,快速地在給定的頻段中跳變,此跳變的頻帶寬度遠(yuǎn)大于所傳送信息的頻譜寬度。 跳時擴(kuò)頻簡稱跳時(TH)。將

17、時間軸分成周期性的時幀,每幀內(nèi)分成許多時片。在一幀內(nèi)哪個時片發(fā)送信號由偽碼控制,由于時片寬度遠(yuǎn)小于信號持續(xù)時間從而實現(xiàn)信號頻譜的擴(kuò)展。 混合擴(kuò)頻幾種不同的擴(kuò)頻方式混合應(yīng)用,例如:直擴(kuò)和跳頻的結(jié)合(DS/FH),跳頻和跳時的結(jié)合(FH/TH),以及直擴(kuò)、跳頻與跳時的結(jié)合(DS/FH/TH)等。4.2.3 直接序列擴(kuò)頻:直接序列擴(kuò)頻(DSSS),(Direct seqcuence spread spectrdm)將要發(fā)送的信息用偽隨機(jī)碼(PN碼)擴(kuò)展到一個很寬的頻帶上去,在接收端,用與發(fā)端擴(kuò)展用的相同的偽隨機(jī)碼對接收到的擴(kuò)頻信號進(jìn)行相關(guān)處理,恢復(fù)出發(fā)送的信息。它是一種數(shù)字調(diào)制方法,具體說,就是將信

18、源與一定的PN碼(偽噪聲碼)進(jìn)行摸二加。例如說在發(fā)射端將1用11000100110,而將0用00110010110去代替,這個過程就實現(xiàn)了擴(kuò)頻,而在接收機(jī)處只要把收到的序列是11000100110就恢復(fù)成1是00110010110就恢復(fù)成0,這就是解擴(kuò)。這樣信源速率就被提高了11倍,同時也使處理增益達(dá)到10dB以上,從而有效地提高了整機(jī)倍噪比。直接序列擴(kuò)頻的優(yōu)點:直擴(kuò)系統(tǒng)射頻帶寬很寬。小部分頻譜衰落不會使信號頻譜嚴(yán)重衰落。 多徑干擾是由于電波傳播過程中遇到各種反射體(高山,建筑物)引起,使接受端接受信號產(chǎn)生失真,導(dǎo)致碼間串?dāng)_,引起噪音增加。而直擴(kuò)系統(tǒng)可以利用這些干擾能量提高系統(tǒng)的性能。 直擴(kuò)系

19、統(tǒng)除了一般通信系統(tǒng)所要求的同步以外,還必須完成偽隨機(jī)碼的同步,以便接受機(jī)用此同步后的偽隨機(jī)碼去對接受信號進(jìn)行相關(guān)解擴(kuò)。直擴(kuò)系統(tǒng)隨著偽隨機(jī)碼字的加長,要求的同步精度也就高,因而同步時間就長。 直擴(kuò)和跳頻系統(tǒng)都有很強(qiáng)的保密性能。對于直擴(kuò)系統(tǒng)而言,射頻帶寬很寬,譜密度很低,甚至淹沒在噪音中,就很難檢查到信號的存在。由于直擴(kuò)信號的頻譜密度很低,直擴(kuò)系統(tǒng)對其它系統(tǒng)的影響就很小。 直擴(kuò)系統(tǒng)一般采用相干解調(diào)解擴(kuò),其調(diào)制方式多采用BPSK、DPSK、QPSK、MPSK等調(diào)制方式。而跳頻方式由于頻率不斷變化、頻率的駐留時間內(nèi)都要完成一次載波同步,隨著跳頻頻率的增加,要求的同步時間就越短。因此跳頻多采用非相干解調(diào)

20、,采用的解調(diào)方式多為FSK或ASK,從性能上看,直擴(kuò)系統(tǒng)利用了頻率和相位的信息,性能優(yōu)于跳頻。4.2.4 Walsh碼序列:在碼分多址系統(tǒng)中,每個小區(qū)的所有信道共用同一頻帶,為了消除多址干擾,應(yīng)該采取一定的措施使得在各個信道中傳輸?shù)男盘枙r相互正交的。Walsh碼是一種同步正交碼, 即在同步傳輸情況下, 利用Walsh碼作為地址碼具有良好的自相關(guān)特性和處處為零的互相關(guān)特性。此外, Walsh碼生成容易, 應(yīng)用方便。 但是, Walsh碼的各碼組由于所占頻譜帶寬不同等原因, 因而不能作為擴(kuò)頻碼。在CDMA系統(tǒng)中,Walsh碼是64位正交碼用來區(qū)分下行用戶(前向用戶)Walsh碼來源于H矩陣,根據(jù)H

21、矩陣中“1”和“1”的交變次數(shù)重新排列就可以得到Walsh矩陣,該矩陣中各行列之間是相互正交(Mutual Orthogonal)的,可以保證使用它擴(kuò)頻的信道也是互相正交的。對于CDMA前向鏈路,采用64階Walsh序列擴(kuò)頻, 每個W序列用于一種前向物理信道(標(biāo)準(zhǔn)),實現(xiàn)碼分多址功能。信道數(shù)記為W0-W63,碼片速率:1.2288Mc/s。沃爾什序列可以消除或抑制多址干擾(MAI)。理論上,如果在多址信道中信號是相互正交的,那么多址干擾可以減少至零。然而實際上由于多徑信號和來自其他小區(qū)的信號與所需信號是不同步的,共信道干擾不會為零。異步到達(dá)的延遲和衰減的多徑信號與同步到達(dá)的原始信號不是完全正交

22、的,這些信號就帶來干擾。來自其他小區(qū)的信號也不是同步或正交的,這也會導(dǎo)致干擾發(fā)生,在反向鏈路中,沃爾什碼序列僅用作擴(kuò)頻。IS-95A定義的CDMA系統(tǒng)采用64階Walsh函數(shù),它們在前、反向鏈路中的作用是不同的。 對于前向鏈路:依據(jù)兩兩正交的Walsh序列,將前向信道劃分為64個碼分信道,碼分信道與Walsh序列一一對應(yīng)。Walsh序列碼速率與PN碼速率相同,均為1.2288 Mc/s。前向多址接入方案由采用正交Walsh序列實現(xiàn);一個編碼比特周期對應(yīng)一個Walsh序列(64chip)。 對于反向鏈路:Walsh序列作為調(diào)制碼使用,即64階正交調(diào)制。6個編碼比特對應(yīng)一個64位的Walsh序列(

23、64階Walsh編碼后的數(shù)據(jù)速率為307.2kcps,經(jīng)用戶PN長碼加擾/擴(kuò)頻,生成1.2288 Mc/s碼流;該碼流經(jīng)PNI、PNQ短碼覆蓋、濾波等處理后交由RFS發(fā)射)。4.2.5 卷積碼:卷積碼是一種糾錯編碼,它將輸入的k個信息比特編成n個比特輸出,特別適合以串行形式進(jìn)行傳輸,時延小。在一個二進(jìn)制分組碼(n,k)當(dāng)中,包含k個信息位,碼組長度為n,每個碼組的(n-k)個校驗位僅與本碼組的k個信息位有關(guān),而與其它碼組無關(guān)。為了達(dá)到一定的糾錯能力和編碼效率(k/n),分組碼的碼組長度n通常都比較大。編譯碼時必須把整個信息碼組存儲起來,由此產(chǎn)生的延時隨著n的增加而線性增加。為了減少這個延遲,人

24、們提出了各種解決方案,其中卷積碼就是一種較好的信道編碼方式。這種編碼方式同樣是把k個信息比特編成n個比特,但k和n通常很小,特別適宜于以串行形式傳輸信息,減小了編碼延時。與分組碼不同,卷積碼中編碼后的n個碼元不僅與當(dāng)前段的k個信息有關(guān),而且也與前面(N-1)段的信息有關(guān),編碼過程中相互關(guān)聯(lián)的碼元為nN個。因此,這N時間內(nèi)的碼元數(shù)目nN通常被稱為這種碼的約束長度。卷積碼的糾錯能力隨著N的增加而增大,在編碼器復(fù)雜程度相同的情況下,卷段積碼的性能優(yōu)于分組碼。另一點不同的是:分組碼有嚴(yán)格的代數(shù)結(jié)構(gòu),但卷積碼至今尚未找到如此嚴(yán)密的數(shù)學(xué)手段,把糾錯性能與碼的結(jié)構(gòu)十分有規(guī)律地聯(lián)系起來,目前大都采用計算機(jī)來搜

25、索好碼。下面通過一個例子來簡要說明卷積碼的編碼工作原理。正如前面已經(jīng)指出的那樣,卷積碼編碼器在一段時間內(nèi)輸出的n位碼,不僅與本段時間內(nèi)的k位信息位有關(guān),而且還與前面m段規(guī)定時間內(nèi)的信息位有關(guān),這里的mN-1通常用(n,k,m)表示卷積碼(注意:有些文獻(xiàn)中也用(n,k,N)來表示卷積碼)。 圖8-8就是一個卷積碼的編碼器,該卷積碼的n = 2,k = 1,m = 2,因此,它的約束長度nN = n(m+1) = 23 = 6。圖8-8(2,1,2)卷集碼編碼器在圖8-8中,與為移位寄存器,它們的起始狀態(tài)均為零。、與、之間的關(guān)系如下:(8-41)假如輸入的信息為D = 11010,為了使信息D全部

26、通過移位寄存器,還必須在信息位后面加3個零。表8-9列出了對信息D進(jìn)行卷積編碼時的狀態(tài)。表8-9 信息D進(jìn)行卷積編碼時的狀態(tài)輸入信息D11010000b3b20001111001100000輸出C1C21101010010110000描述卷積碼的方法有兩類,也就是圖解表示和解析表示。解析表示較為抽象難懂,而用圖解表示法來描述卷積碼簡單明了。常用的圖解描述法包括樹狀圖、網(wǎng)格圖和狀態(tài)圖等。卷積碼的譯碼方法可分為代數(shù)譯碼和概率譯碼兩大類。代數(shù)譯碼方法完全基于它的代數(shù)結(jié)構(gòu),也就是利用生成矩陣和監(jiān)督矩陣來譯碼,在代數(shù)譯碼中最主要的方法就是大數(shù)邏輯譯碼。概率譯碼比較常用的有兩種,一種叫序列譯碼,另一種叫維

27、特比譯碼法。雖然代數(shù)譯碼所要求的設(shè)備簡單,運算量小,但其譯碼性能(誤碼)要比概率譯碼方法差許多。因此,目前在數(shù)字通信的前向糾錯中廣泛使用的是概率譯碼方法。 在IS-95系統(tǒng)中,前向和反向鏈路的業(yè)務(wù)數(shù)據(jù)幀送給卷積編碼器。前向和反向鏈路的卷積編碼器都使用約束長度為9的移位寄存器。前向編碼的速率是1/2,在速率低于9.6kbps時,輸出比特經(jīng)過重復(fù),把一個20ms分組中的比特數(shù)擴(kuò)展到576,總速率達(dá)到28.8kbps。反向的編碼速率是1/3,在速率低于9.6kbps時,輸出比特經(jīng)過重復(fù),把一個20ms分組中的比特數(shù)擴(kuò)展到384,總速率達(dá)到19.2kbps。IS-95系統(tǒng)的前向鏈路中,除導(dǎo)頻信道外的所

28、有信道均使用(2,1,9)卷積編碼;反向鏈路中使用(3,1,9)卷積編碼。4.2.6 交織技術(shù):交織(interleaving)一種差錯控制技術(shù)。它的目的是使誤碼離散化,將突發(fā)差錯信道變?yōu)殡x散差錯信道,再通過糾正隨機(jī)差錯來改善數(shù)據(jù)傳輸質(zhì)量。交織原理:把信息碼(源比特)流在時間順序上按一定規(guī)則打亂,即相互穿插交織后再發(fā)送到信道中去。若交織后的碼流出現(xiàn)突發(fā)差錯,再經(jīng)過解交織還原成原來的碼流順序后,則將突發(fā)連片差錯分散成隨機(jī)差錯。因此,接收端的解交織是發(fā)端交織的逆過程。交織分類:交織器有2 種結(jié)構(gòu)類型:分組結(jié)構(gòu)和卷積結(jié)構(gòu)。分組結(jié)構(gòu)是把待編碼的mn個數(shù)據(jù)位放入一個m行n列的矩陣中,即每次是對mn個數(shù)據(jù)

29、位進(jìn)行交織。通常,每行由n個數(shù)據(jù)位組成一個字,而交織器的深度,即為行數(shù)m。數(shù)據(jù)位被按列填入,而在發(fā)送時卻是按行讀出的,這樣就產(chǎn)生了對原始數(shù)據(jù)位以m個比特為周期進(jìn)行穿插的效果。在接收機(jī)一端的解交織操作則是與此相反進(jìn)行的。采用卷積結(jié)構(gòu)的交織器,在多數(shù)情況下可以代替分組結(jié)構(gòu)的交織器。IS-95系統(tǒng)的交織器都是在塊數(shù)據(jù)上進(jìn)行操作,但嚴(yán)格來說它們并不屬于塊交織,各交織器從交織矩陣中以非傳統(tǒng)的方法(沒有按照從左上角按列寫入,從右下角按行讀出的順序)讀出數(shù)據(jù),這樣的目的是為了改變最小間隔特性。在IS-95前向鏈路同步信道中,交織器讀出數(shù)據(jù)時并沒有按照從右下角按行讀出的原則,而是采用“比特反轉(zhuǎn)”的技術(shù)讀出。所

30、謂比特反轉(zhuǎn),是指將符號的位置變換為二進(jìn)制數(shù)據(jù),然后反轉(zhuǎn)比特的順序,再變回十進(jìn)制。需要注意的是位置從0開始編號,而不是從1開始編號。4.2.7 IS-95技術(shù)基礎(chǔ):IS-95是由高通公司發(fā)起的第一個基于CDMA數(shù)字蜂窩標(biāo)準(zhǔn)。基于IS-95的第一個品牌是CDMAOne。IS-95也叫TIA-EIA-95。它是一個使用CDMA的2G移動通信標(biāo)準(zhǔn),一個數(shù)據(jù)無線電多接入方案,其用來發(fā)送聲音,數(shù)據(jù)和在無線電話和蜂窩站點間發(fā)信號數(shù)據(jù)(如被撥電話號碼)。IS-95是TIA為最主要基于CDMA技術(shù)2G移動通信的空中接口標(biāo)準(zhǔn)分配的編號,IS全稱為Interim Standard,即暫時標(biāo)準(zhǔn)。它也常作為整系列名稱使

31、用。CDG為該技術(shù)申請了CDMAOne的商標(biāo)。IS-95及其相關(guān)標(biāo)準(zhǔn)是最早商用的基于CDMA技術(shù)的移動通信標(biāo)準(zhǔn),它或者它的后繼CDMA2000也經(jīng)常被簡稱為CDMA。 典型的IS-95系統(tǒng)參考模型如下圖所示:方框內(nèi)表示的是系統(tǒng)功能實體,兩實體連線中央標(biāo)注的是接口信令協(xié)議的類型。各個功能實體的定義如下:MS:移動臺 BS:基站 MSC:移動交換中心 HLR:歸屬位置寄存器VLR:訪問位置寄存器 AC:鑒權(quán)中心 MC:消息中心 SME:短消息實體SCP:業(yè)務(wù)控制點 SSP:業(yè)務(wù)交換點 IWF:互操作功能。標(biāo)準(zhǔn)簡介:頻段安排沿用模擬AMPS系統(tǒng)的頻譜分配方案,AMPS系統(tǒng)的信道帶寬為30KHz,每個

32、CDMA信道使用42個AMPS信道,系統(tǒng)帶寬為1.25MHz,使用的PN碼速率為1.2288Mcps。每個CDMA信道兩側(cè)各有8個AMPS信道作為保護(hù)頻帶。反向:824-849MHz前向:869-894MHz頻帶間隔:45MHz反向:1850-1919MHz前向:1930-1990MHz信道數(shù)每系統(tǒng)帶寬共有64個碼分信道調(diào)制方式和擴(kuò)頻方式前向采用QPSK,反向采用OQPSK。前向和方向均采用直接序列擴(kuò)頻。信道編碼信道編碼采用卷積編碼和維特比譯碼,前向鏈路卷積碼的編碼效率是1/2,反向為1/3,約束長度為9.交織編碼前向?qū)ьl信道不需要經(jīng)過交織。前向鏈路同步信道采用26.66ms交織編碼長度外,其

33、他信道的交織編碼長度均為20ms。在進(jìn)入交織器之前,各信道符號速率需要經(jīng)過編碼,重復(fù)等操作達(dá)到19.2kbps。擴(kuò)頻碼擴(kuò)頻碼的速率為1.2288Mcps,即碼片的碼元寬度為0.8138us。基站識別碼采用周期為的m序列(短碼),用戶識別碼采用周期為的m序列(長碼)。前向鏈路采用64個正交的Walsh碼(碼片速率為1.2288Mcps)作為64個信道的地址碼,反向鏈路利用Walsh碼進(jìn)行64進(jìn)制正交調(diào)制。前向鏈路中,起擴(kuò)頻作用的是Walsh序列,此外,Walsh序列還用來區(qū)分前向鏈路的不同信道。不同的端PN碼偏置可用來區(qū)分不同的基站,在同基站的各前向信道的QPSK調(diào)制結(jié)構(gòu)中,I路和Q路的PN碼偏

34、置相同,但特征多項式不同。長PN碼在前向業(yè)務(wù)信道和尋呼信道中用于數(shù)據(jù)加擾,沒有起擴(kuò)頻作用;前向?qū)ьl信道和尋呼信道沒有用到長PN碼。前向鏈路擴(kuò)頻碼是Walsh碼,反向鏈路的擴(kuò)頻碼是長碼。采用功率控制和軟切換功率控制的目的是為了克服遠(yuǎn)近效應(yīng)的影響。軟切換:當(dāng)移動臺需要跟一個新的基站進(jìn)行通信時,先不急于中斷和原來基站的通信,而是在與新基站取得聯(lián)系后才與原來基站斷開。軟切換就是先接后斷。它只能在相同頻率的CDMA信道間才能進(jìn)行。不同頻率的CDMA信道只能進(jìn)行傳統(tǒng)的硬切換。RAKE接收機(jī)運用背景:RAKE接收技術(shù)是第三代CDMA移動通信系統(tǒng)中的一項重要技術(shù)。在CDMA移 動通信系統(tǒng)中,由于信號帶寬較寬,

35、存在著復(fù)雜的多徑無線電信號,通信受到多徑衰落的影響。RAKE接收技術(shù)實際上是一種多徑分集接收技術(shù),可以在時間上分辨出細(xì)微的多徑信號,對這些分辨出來的多徑信號分別進(jìn)行加權(quán)調(diào)整、使之復(fù)合成加強(qiáng)的信號。這種作用有點像把一堆零亂的草用“耙子”把它們集攏到一起那樣,英文“RAKE”是“耙子”的意思,因此被稱為RAKE技術(shù)?;驹?在CDMA擴(kuò)頻系統(tǒng)中,信道帶寬遠(yuǎn)遠(yuǎn)大于信道的平坦衰落帶寬。不同于傳統(tǒng)的調(diào)制技術(shù)需要用均衡算法來消除相鄰符號間的碼間干擾,CDMA擴(kuò)頻碼在選擇時就要求它有很好的自相關(guān)特性。這樣,在無線信道中出現(xiàn)的時延擴(kuò)展,就可以被看作只是被傳信號的再次傳送。如果這些多徑信號相互間的延時超過了一

36、個碼片的長度,那么它們將被CDMA接收機(jī)看作是非相關(guān)的噪聲,而不再需要均衡了由于在多徑信號中含有可以利用的信息,所以CDMA接收機(jī)可以通過合并多徑信號來改善接收信號的信噪比。其實RAKE接收機(jī)所作的就是:通過多個相關(guān)檢測器接收多徑信號中的各路信號,并把它們合并在一起。當(dāng)傳播時延超過一個碼片周期時,多徑信號實際上可被看作是互不相關(guān)的。帶DLL的相關(guān)器是一個具有遲早門鎖相環(huán)的解調(diào)相關(guān)器。遲早門和解調(diào)相關(guān)器分別相差1/2(或1/4)個碼片。遲早門的相關(guān)結(jié)果相減可以用于調(diào)整碼相位。延遲環(huán)路的性能取決于環(huán)路帶寬。 由于信道中快速衰落和噪聲的影響,實際接收的各徑的相位與原來發(fā)射信號的相位有很大的變化,因此

37、在合并以前要按照信道估計的結(jié)果進(jìn)行相位的旋轉(zhuǎn),實際的CDMA系統(tǒng)中的信道估計是根據(jù)發(fā)射信號中攜帶的導(dǎo)頻符號完成的。根據(jù)發(fā)射信號中是否攜帶有連續(xù)導(dǎo)頻,可以分別采用基于連續(xù)導(dǎo)頻的相位預(yù)測和基于判決反饋技術(shù)的相位預(yù)測方法。利用基站和移動臺的RAKE接收機(jī)來分離多徑,可以實現(xiàn)信號的時間分集。基站端最多可以分離4徑的信號,移動臺端可以分離3徑的信號。Note:上行鏈路又稱反向鏈路,MS到BS的無線鏈路下行鏈路又稱前向鏈路,BS到MS的無線鏈路鏈路信道Note:一幀為20ms ?IS-95的話音編碼:采用碼激勵線性預(yù)測CELP,最大速率為8kbps,最大數(shù)據(jù)速率為9.6kbps,每幀時間為20ms。(之后

38、經(jīng)過編碼,幀長不變只是bps提高)前向信道按Walsh碼序列分為64個,1(W0)個導(dǎo)頻信道,1(W32)個同步信道,1-7(W1-W7)個尋呼信道,55個前向業(yè)務(wù)信道。前向?qū)ьl信道為所用的移動臺提供相位基準(zhǔn),提取相干載波進(jìn)行信號的解調(diào)。全0序列用W0擴(kuò)頻,調(diào)制同步信道傳輸同步信息,利用該信號得到起始時間同步(碼片對齊)。1.2kbps經(jīng)過卷積編碼,符號重復(fù),交織,Walsh碼擴(kuò)頻,調(diào)制前向?qū)ず粜诺?.6kbps或4.8kbps經(jīng)過卷積編碼,符號重復(fù),交織,數(shù)據(jù)掩碼(數(shù)據(jù)加擾,保證信息安全,采用長PN碼),Walsh碼擴(kuò)頻,調(diào)制。前向業(yè)務(wù)信道速率集RS1:9.6,4.8,2.4,1.2kbps

39、速率集RS2:14.4,7.2,3.6,1.8kbps信息比特經(jīng)過卷積編碼,符號重復(fù),交織,長碼加擾,Walsh擴(kuò)頻,調(diào)制。反向鏈路利用長碼的不同相位來區(qū)分不同的用戶,接入信道n=32,方向業(yè)務(wù)信道m(xù)=64,而n+m=64.反向接入信道4.8kbps 經(jīng)過卷積編碼,符號重復(fù),交織,使用Walsh碼進(jìn)行64階正交調(diào)制,長碼擴(kuò)頻和加擾,OQPSK調(diào)制反向業(yè)務(wù)信道信息比特經(jīng)過卷積編碼,符號重復(fù),交織,使用Walsh碼進(jìn)行64階正交調(diào)制,長碼擴(kuò)頻和加擾,OQPSK調(diào)制IS-95的同步與定時每個基站的標(biāo)準(zhǔn)時基與CDMA系統(tǒng)的時鐘對準(zhǔn),它驅(qū)動導(dǎo)頻信道的m序列,幀以及Walsh碼的定時。CDMA系統(tǒng)的公共時

40、鐘基準(zhǔn)是CDMA系統(tǒng)時間,它是采用GPS(全球定位系統(tǒng))時間標(biāo)尺,GPS時間標(biāo)尺跟蹤并同步于UTC(世界協(xié)調(diào)時間)。CDMA系統(tǒng)時間是以幀為單位的。若系統(tǒng)時間為s(秒為單位),則以幀為單位的CDMA系統(tǒng)時間t應(yīng)是幀長20ms的整數(shù),即t=s/0.02.IS-95系統(tǒng)的功控目的一是克服反向鏈路的遠(yuǎn)近效應(yīng);二是在保證接收機(jī)的解調(diào)性能情況下,盡量降低發(fā)射功率,減少對其他用戶的干擾,增加系統(tǒng)容量。分類前向功控:一個慢速的基于移動臺接收的誤幀率消息,對基站的某一信道的發(fā)射功率進(jìn)行調(diào)整。最小的調(diào)整間隔是一幀的時間,是20ms。.反向功控:開環(huán),閉環(huán),和外環(huán)功控。開環(huán)移動臺的開環(huán)功控是指移動臺根據(jù)接收的基站

41、信號強(qiáng)度來調(diào)節(jié)移動臺發(fā)射功率的過程。其目的是使所用的移動臺到達(dá)基站的信號功率相等,以免因遠(yuǎn)近效應(yīng)影響擴(kuò)頻CDMA系統(tǒng)對碼分信號的接收。閉環(huán)移動臺根據(jù)基站發(fā)送的功控指令(功控比特攜帶的信息)來調(diào)整移動臺的發(fā)射功率的過程。1)分為內(nèi)環(huán)和外環(huán)(內(nèi)環(huán)的目的是保持MS盡可能的接近它的Eb/N0目標(biāo)值,外環(huán)的目的是為一個給定的MS調(diào)整基站的Eb/N0目標(biāo)值)2)內(nèi)環(huán):基站測量接收到的信號C/I,將該值與設(shè)置點相比較,通過發(fā)送功率控制命令來增大或減少功率,以使接收到的信號C/I接近于設(shè)置點。3)外環(huán):基站通過測量誤幀率,并定時地根據(jù)目標(biāo)誤幀率來調(diào)節(jié)設(shè)置點C/I,來維持恒定的目標(biāo)誤幀率。外環(huán)是為了適應(yīng)無線信道

42、的衰耗變化,動態(tài)調(diào)整方向閉環(huán)功控中的信噪比門限。IS-95的功率控制前向:速度慢,每秒1或2次的功控動作。反向:開環(huán),閉環(huán),外環(huán),速度達(dá)到每秒800次。五、設(shè)計整體思路在本次設(shè)計中,只需要仿真CDMA IS-95前向鏈路(又稱下行鏈路或正向鏈路)系統(tǒng)。在IS-95系統(tǒng)的前向鏈路中,不同的信道通過專用的正交Walsh序列來區(qū)別,由于Walsh序列的正交性,不同信道的信號是正交的,因此區(qū)分了不同的移動臺用戶。相鄰的基站可以使用相同的Walsh序列,雖然信號之間可能不滿足正交性,但是來自不同基站的信號可以用不同的PN短碼偏置來區(qū)分,前向鏈路的信道結(jié)構(gòu)如下圖所示:由圖中我們可以看出,前向物理信道按Wa

43、lsh碼序列可提供64個信道。根據(jù)物理信道所傳送的信息功能不同,可將物理信道劃分為幾種邏輯信道:導(dǎo)頻信道、同步信道、尋呼信道、業(yè)務(wù)信道。前向鏈路的邏輯信道包括:1個導(dǎo)頻信道、1個同步信道、17個尋呼信道、55個前向業(yè)務(wù)信道,共計64個。邏輯信道與碼分物理信道的對應(yīng)關(guān)系為:導(dǎo)頻信道(),同步信道(),尋呼信道()和前向業(yè)務(wù)信道(及)。業(yè)務(wù)信道含有業(yè)務(wù)數(shù)據(jù)和功率控制子信道,前者傳送用戶信息和信令信息,后者傳送功率控制信息。所以,本次設(shè)計首先需要設(shè)計并仿真前向?qū)ьl信道、前向同步信道、前向?qū)ず粜诺?、前向業(yè)務(wù)信道,然后再將這四個子信道連接成前向鏈路系統(tǒng),在此系統(tǒng)中要實現(xiàn)信號的發(fā)送和接收。六、詳細(xì)設(shè)計6.

44、1 設(shè)計仿真中用到的符號及其功能說明Walsh編碼器 WalEnc功能: 基于Walsh函數(shù)的正交糾錯編碼器。Walsh函數(shù)發(fā)生器 WalGen功能: 通用的WN,K Walsh函數(shù)發(fā)生器,在IS95中規(guī)定W64,0用于前向引導(dǎo)信道,W64,32用于同步信道。Walsh譯碼器 WalDec功能: 用于Walsh函數(shù)的快速譯碼。輸入信號為N比特數(shù)據(jù)塊加噪聲或干擾,按2的K次冪取樣數(shù)據(jù)。長碼長PN碼 LongPn功能: 42個抽頭的PN長碼發(fā)生器。PN碼Q通道PN擴(kuò)展 PnSprdQ功能: 完成Q通道的擴(kuò)頻。I通道PN擴(kuò)展 PnSprdI功能: 完成I通道的擴(kuò)頻。交織交織 IntLvr功能: 根據(jù)

45、選定的IS95信道類型進(jìn)行交織編碼,IS95中使用24行16列個單元以19.2Kbps共20ms為前向信道和Page信道的交織長度。前向同步則采用16行8列共26.6666ms。反交織 DeIntr功能: 對交織編碼反交織。信道同步信道 SyncChan功能: 輸出基帶同步信道的數(shù)據(jù)到脈沖整型濾波器。數(shù)據(jù)率為1200bps。Page信道 PageChan功能: 輸出基帶Page信道的數(shù)據(jù)到脈沖整型濾波器。數(shù)據(jù)率為4800bps或9600bps。下行信道 TRFCCh功能: 一個完整的前向信道,從基站到移動端。接入信道 AccessCh功能: 上行接入信道。輸入數(shù)據(jù)流4.4Kbps上行信道 Rv

46、TrfcCh功能: 上行接入信道。輸入數(shù)據(jù)流直接輸入,使用內(nèi)部取樣器。無須在輸入信號與本圖符之間加入數(shù)據(jù)取樣器。引導(dǎo)信道 PILOT功能: 輸出引導(dǎo)信道的數(shù)據(jù)到脈沖整型濾波器。濾波器基帶多相低通濾波器 LPF功能: 對要傳輸?shù)幕鶐盘栠M(jìn)行脈沖波形整型以減少實際發(fā)射占用的帶寬,濾波器默認(rèn)的系數(shù)為IS95規(guī)定的48抽頭系數(shù),其它文件由格式文本文件指定,并且只能使用4.9152MHz的采樣率。幀品質(zhì)檢測編譯碼幀品質(zhì)檢測編碼器 FrameQ功能: 在20ms的數(shù)據(jù)幀尾部加入帶有CRC校驗功能的編碼,以監(jiān)測信道的質(zhì)量。幀品質(zhì)檢測譯碼器 FQTYDEC功能: 對數(shù)據(jù)幀包含的幀品質(zhì)檢測編碼進(jìn)行譯碼。功率控制

47、功率控制位 POWRCTRL功能: 在前向信道中加入功率控制位,功率控制位為800bps的數(shù)據(jù)流。62 前向?qū)ьl信道6.2.1 理論基礎(chǔ):基站通過使用導(dǎo)頻信道為所有的移動臺提供相位基準(zhǔn),前向?qū)ьl信道為移動臺接收機(jī)的相干解調(diào)提供相位基準(zhǔn)以保證相干檢測。前向?qū)ьl信道結(jié)構(gòu)如下圖所示:導(dǎo)頻信道輸入為全0,沒有經(jīng)過編碼、交織,用沃爾什函數(shù)0進(jìn)行擴(kuò)頻,導(dǎo)頻信號時連續(xù)發(fā)送的,導(dǎo)頻信道的發(fā)射功率占基站總功率的20左右。由于PN碼序列的周期為碼片,所以一個導(dǎo)頻信號的PN序列周期內(nèi)可容納512個碼長為64的Walsh函數(shù)序列。所有基站的導(dǎo)頻信號PN碼序列初始相位(狀態(tài))的偏置數(shù)目等于512,即每偏移64chips

48、為一個碼分導(dǎo)頻信道。初始相位可用偏置指數(shù)表示,從0到511,共有512個,相位偏置指數(shù)64=引導(dǎo)PN序列偏移的碼片數(shù)。例如,給定的偏置指數(shù)為15時,相對基準(zhǔn)時間偏移的碼片數(shù)為1564=960chips。又因為碼片速率為1.2288Mcps,已知每一碼片寬為0.8138,所以偏置指數(shù)為15(對應(yīng)960chips的碼片偏移),相當(dāng)于時間偏移為781.25。由于把毎偶數(shù)秒得開始作為PN序列的零偏置定時,即導(dǎo)頻信道的時間周期為2s,又由于短PN碼的周期為25.667ms,所以2秒可發(fā)送引導(dǎo)信號75次(7526.66ms=2s)。I路地短PN碼和Q路地短PN碼都是由15階線性移位寄存器產(chǎn)生的m序列,并且

49、m序列的周期均為。I路和Q路的PN序列的特征多項式分別如下:短PN碼的周期之所以為,是因為在設(shè)計時對PN碼進(jìn)行了修正。當(dāng)生成的m序列中出現(xiàn)14個連“0”時,從中再插入一個“0”,使得序列的長度變?yōu)?。由于每個偏置是64碼片的整數(shù)倍,所以共有32768/64=512個不同偏置。移動臺利用導(dǎo)頻信道來確定最強(qiáng)的信號部分,提供精確的時間延遲、相位和多徑成分幅度的估算。移動臺還通過比較不同基站發(fā)送的導(dǎo)頻信號的強(qiáng)度來確定何時進(jìn)行切換。6.2.2 設(shè)計仿真:(1) 仿真電路圖入下:(2)電路圖及模塊說明:0/18.階躍函數(shù)信號源,信號幅值為0,幅度偏置為0,以0s為起始時刻,最大碼元速率5Mcps。說明:由于

50、基站使用導(dǎo)頻信道為所有的移動臺提供基準(zhǔn),所以在導(dǎo)頻信道中基站不間斷地發(fā)送非調(diào)制擴(kuò)頻信號,導(dǎo)頻信道不傳送任何數(shù)據(jù)信息,輸入全為0。所以此處作為激勵源的階躍信號的幅值為0。值得一提的是,在理論基礎(chǔ)中的框圖中,我們可以看到,激勵源的輸出信號經(jīng)過采樣之后要與Walsh函數(shù)相乘,但是在設(shè)計中并未體現(xiàn)這一點。其實,這是因為在前向?qū)ьl信道中,用于相乘的Walsh函數(shù)為0號,通過查詢資料,我發(fā)現(xiàn)0號Walsh函數(shù)碼元數(shù)據(jù)為全0,所以和階躍信號輸出的全0序列相乘仍為0,所以在仿真中,加不加Walsh函數(shù)其實都一樣,故此處省略。2/7/8.采樣器,采樣頻率為1.2288Mcps,最大碼元速率為1.2288Mcps

51、,采樣時間寬度為0,采樣時間偏差為0。9.方波脈沖序列發(fā)生(脈沖串)器,幅度為1V,頻率為1.2288Mcps,最大碼元速率為5Mcps。說明:在此處,方波脈沖序列發(fā)生器用于擴(kuò)頻模塊的激勵源。5/6.對輸入信號進(jìn)行異或運算,閾值為0.5V,幅值為正負(fù)1,雙極性碼元,最大碼元速率為1.2288Mcps。說明:通過異或運算,完成對原始序列的擴(kuò)頻。10.I通道PN擴(kuò)展,完成I通道擴(kuò)頻,單極性碼元,PN偏置為1,最大碼元速率為5Mcps。11.Q通道PN擴(kuò)展,完成Q通道擴(kuò)頻,單極性碼元,PN偏置為1,最大碼元速率為5Mcps。說明:此處通過這兩個模塊輸出的高速偽隨機(jī)碼,來對原始信號進(jìn)行擴(kuò)頻。12/13

52、.數(shù)據(jù)重復(fù)器,對數(shù)據(jù)符號流進(jìn)行重發(fā),以保證數(shù)據(jù)速率。符號中繼器,由輸入符號產(chǎn)生新的符號。最大碼元速率為4.9152Mcps,重復(fù)指數(shù)為4。14/15.低通濾波器,48抽頭系數(shù),只能使用7/8.增益模塊,對輸入信號進(jìn)行放大,增益為-3dB,最大碼元速率為4.9152Mcps。19.采樣器,采樣頻率為4.9152Mcps,最大碼元速率為4.9152Mcps,采樣時間寬度為0,采樣時間偏差為0。20.復(fù)數(shù)旋轉(zhuǎn)模塊,參數(shù)有相位增益,相位偏置30deg,最大碼元速率為4.9152Mcps。說明:由復(fù)數(shù)旋轉(zhuǎn)模塊的公式我們可以知道,此處是為擴(kuò)頻后的信息序列加上相位偏置,使得此基站導(dǎo)頻信號唯一。 21.延遲,

53、延遲時間為1微秒,最大碼元速率為4.9152Mcps。24.導(dǎo)頻信道,完整的下行鏈路導(dǎo)頻信道模型,輸出引導(dǎo)的數(shù)據(jù)到脈沖整形濾波器。其中導(dǎo)頻PN偏置為1,信道延遲1微秒,閾值0.5V,信道增益-3dB,信道相位30deg,最大碼元速率4.9152Mcps。說明:此處用完整的導(dǎo)頻信道模型是為了和另一個輸出的波形進(jìn)行比較,判斷電路仿真結(jié)果的正確性。3/4.保持器,輸出碼元速率和最大碼元速率均為5Mbps。(3)仿真結(jié)果:電路仿真運行結(jié)果如下,從上圖我們可以看出,除延遲以外,兩個電路圖的輸出波形幾乎一樣,符合實驗要求。將兩個輸出波形相減可得:我們可以看到誤差非常的小,幾近為0。6.3 前向同步信道6.

54、3.1 理論基礎(chǔ):前向同步信道是經(jīng)過編碼、交織和調(diào)制的信號,主要傳輸同步信息,導(dǎo)頻信道可以利用該信號得到起始時間同步,所以移動臺要解調(diào)這個信道。移動臺一旦同步完成,它通常不再接受同步信號,但當(dāng)設(shè)備關(guān)機(jī)后重新開機(jī)時,還需要重新進(jìn)行同步。此外同步信道還傳送前向?qū)ず粜诺赖男畔⑺俾?。前向同步信道的信道結(jié)構(gòu)如下圖所示:同步信道的傳輸比特率是1.2kbps,經(jīng)過卷積編碼后的符號速率為2.4kbps,經(jīng)過符號重復(fù)后符號速率為4.8kbps,交織的時延為26.66ms,經(jīng)過交織的符號速率為4.9kbps,它與1.2288Mcps的Walsh碼模二加進(jìn)行擴(kuò)頻調(diào)制,可知,每個調(diào)制符號包含的碼片數(shù)為:1228.8/

55、4.8=256。同步信道的幀長為26.66ms,超幀長80ms(含96個同步信道數(shù)據(jù)比特),每個超幀含3個同步信道幀。同步信道的信息包括基站的系統(tǒng)ID、導(dǎo)頻短PN碼的便宜指數(shù)(以64碼片為單位)、詳細(xì)的時序信息和尋呼信道數(shù)據(jù)速率。同步信道的調(diào)制參數(shù)如下表所示:參數(shù)數(shù)值輸入信息速率/kbps1.2卷積碼參數(shù)符號重復(fù)次數(shù)2調(diào)制符號速率/kbps4.8PN碼片/調(diào)制符號1228.8/4.8=256PN碼片/bit1228.8/1.2=1024交織器168=128,26.67ms時延6.3.2 設(shè)計仿真:(1) 仿真電路圖如下:(2) 電路圖及模塊說明:1.偽隨機(jī)序列發(fā)生器,幅值為1V,碼元速率1.2

56、kbps,最大碼元速率5Mcps,偏置為0。2.采樣器,采樣頻率為1.2kbps,最大碼元速率為1.2kbps,采樣時間寬度為0,采樣時間偏差為0。3.卷積碼編碼器,輸出比特數(shù)n=2,信息位k=1,約束長度l=9,最大碼元速率2.4kbps。說明:卷積編碼屬于信道編碼,主要用來糾正碼元的隨機(jī)差錯,它是以犧牲效率來換取可靠性的,利用增加監(jiān)督為進(jìn)行檢錯和糾錯。CDMA系統(tǒng)中除導(dǎo)頻信道外,其他各種信道都使用卷積編碼。4.數(shù)據(jù)重復(fù)器,重讀指數(shù)為2,最大碼元速率為4.8kbps。5.交織,前向同步信道采用16行8列共26.6666ms,數(shù)據(jù)速率為1.2kbps,最大碼元速率為4.8kbps。說明:在擴(kuò)頻

57、前,調(diào)制碼元還需要進(jìn)行分組交織,交織是為了克服突發(fā)性差錯,它可將突發(fā)性差錯分散化。同步信道使用時間跨距為26.66ms的分組交織,這一跨距與4800bit/s字符速率的128個調(diào)制字符相對應(yīng)。6.數(shù)據(jù)重復(fù)器,重復(fù)指數(shù)為256,最大傳輸速率為1.2288Mcps。10/11.方波脈沖序列發(fā)生(脈沖串)器,幅度為1V,頻率為1.2288Mcps,最大碼元速率為5Mcps,閾值為-0.5V。9.Walsh函數(shù)發(fā)生器,規(guī)定W64,32用于同步信道,最大碼元速率為5Mcps。說明:信息序列與Walsh序列進(jìn)行模二加,以此來區(qū)分信道,實現(xiàn)碼分多址。8/14/15.采樣器,采樣頻率為1.2288Mcps,最

58、大碼元速率為1.2288Mcps,采樣時間寬度為0,采樣時間偏差為0。9/10.對輸入信號進(jìn)行異或運算,閾值為0.5V,幅值為正負(fù)1,雙極性碼元,最大碼元速率為1.2288Mcps。16.I通道PN擴(kuò)展,完成I通道擴(kuò)頻,單極性碼元,PN偏置為1,最大碼元速率為5Mcps。17.Q通道PN擴(kuò)展,完成Q通道擴(kuò)頻,單極性碼元,PN偏置為1,最大碼元速率為5Mcps。18/19.數(shù)據(jù)重復(fù)器,對數(shù)據(jù)符號流進(jìn)行重發(fā),以保證數(shù)據(jù)速率。符號中繼器,由輸入符號產(chǎn)生新的符號。最大碼元速率為4.9152Mcps,重復(fù)指數(shù)為4。20/21.低通濾波器,48抽頭系數(shù),只能使用7/8.增益模塊,對輸入信號進(jìn)行放大,增益為-3dB,最大碼元速率為4.9152Mcps。24.階躍函數(shù)信號源,信號幅值為0,幅度偏置為0,以0s為起始時刻,最大碼元速率5Mcps。25.采樣器,采樣頻率為4.9152Mcps,最大碼元速率為4.9152Mcps,采樣時間寬度為0,采樣時間偏差為0。26.復(fù)數(shù)旋轉(zhuǎn)模塊,參數(shù)有相位增益,相位偏置30deg,最大碼元速率為4.9152Mcps。27.延遲,延遲時間為1微秒,

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!