歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

測(cè)控技術(shù)與儀器 畢業(yè)論文范文——基于單片機(jī)的串行通信系統(tǒng)開發(fā)

  • 資源ID:116535164       資源大?。?span id="tgyqbml" class="font-tahoma">2.93MB        全文頁數(shù):52頁
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

測(cè)控技術(shù)與儀器 畢業(yè)論文范文——基于單片機(jī)的串行通信系統(tǒng)開發(fā)

基于單片機(jī)的串行通信系統(tǒng)開發(fā)基于單片機(jī)的串行通信系統(tǒng)開發(fā) 摘要:本單片機(jī)系統(tǒng)采用 AT89S52 控制,整個(gè)硬件系統(tǒng)由 A/D、D/A 轉(zhuǎn)換、LED 顯 示、鍵盤、串行通信等模塊組成。給出了整個(gè)電路原理圖與各部分電路原理圖以 及主要源程序。本設(shè)計(jì)完成了單片機(jī)部分的開發(fā)設(shè)計(jì),若配上采集電路和相應(yīng)的 軟件就能將測(cè)量結(jié)果用 LED 數(shù)碼管十進(jìn)制顯示出來,其中包括了 A/D、D/A 轉(zhuǎn)換, 還可以用按鍵來控制,進(jìn)行人機(jī)對(duì)話;系統(tǒng)中設(shè)置了 5 個(gè)按鍵,其中 1 個(gè)是復(fù)位 鍵,其余的 4 個(gè)鍵,用程序來控制實(shí)現(xiàn)不同的功能。之所以沒有設(shè)計(jì)外部采集電 路是因?yàn)樵O(shè)計(jì)了外部采集電路系統(tǒng)的功能就比較單一,不方便系統(tǒng)功能的外部擴(kuò) 展。該系統(tǒng)還能實(shí)現(xiàn)單片機(jī)與 PC 機(jī)的串行通信和編程的下載、軟件設(shè)計(jì)的時(shí)鐘顯 示。 關(guān)鍵詞:?jiǎn)纹瑱C(jī) AT89S52;串行通信;A/D 轉(zhuǎn)換;D/A 轉(zhuǎn)換;LED 數(shù)碼管顯示 SerialSerial CommunicationCommunication SystemSystem DevelopmentDevelopment BasedBased onon SCMSCM Abstract:It adopts AT89S52 to control the Single Chip microcomputer system, the whole hardware system is composed of A/D and D/A transformation, LED display, keyboard, serial communication. Gives the circuit diagram with the part of the circuit diagram and the main source, If collection circuit and the relevant software are equiped, it can realize that the metrical results are displayed by numeral tube LED in term of decimal system, including A/D and D/A transformation, it also can be controlled by keystroke, makes the man-machine conversation. The system is mounted by five keystroke, one restoring key, another four keys which can be used to realize different functions under the control of program. The reason why the external collection circuit has not been designed is because that the function of it will be single if it is designed and it will not be convenient to spread the function of the system. Here the whole system can realize serial communication of Single Chip Micyoco and machine PC, the download of programming, the display of the clock which is designed by software. Key words: Microcomputer AT89S52; serial communicaiton; A/D transformation; D/A tranformaiton; LED numeral tube display. 1 緒論緒論 1.11.1 系統(tǒng)開發(fā)背景和系統(tǒng)設(shè)計(jì)的意義系統(tǒng)開發(fā)背景和系統(tǒng)設(shè)計(jì)的意義 單片機(jī)的主要優(yōu)點(diǎn)是抗干擾能力強(qiáng)、價(jià)格低廉、功耗極小等,其明顯不足是 運(yùn)算功能和顯示功能較差。而 PC 機(jī)的特點(diǎn)正好與其相反,因而,把單片機(jī)放在 惡劣的遠(yuǎn)程前端,作為從機(jī)(也稱為下位機(jī)),而把 PC 機(jī)放在條件較好的環(huán)境 中作為中央控制機(jī)(也稱為上位機(jī))。利用單片機(jī)的串行口與 PC 機(jī)的串行口進(jìn) 行串口通信,PC 機(jī)可對(duì)遠(yuǎn)程前端單片機(jī)進(jìn)行控制,將單片機(jī)采集的數(shù)據(jù)傳送到 PC 機(jī)中,由 PC 機(jī)對(duì)數(shù)據(jù)進(jìn)行處理和顯示,同時(shí)把反饋信號(hào)發(fā)到單片機(jī),實(shí)現(xiàn)閉 環(huán)控制和管理。隨著現(xiàn)代技術(shù)的高速發(fā)展,電腦涉及的應(yīng)用是越來越廣泛,工業(yè)、 第 2 頁 共 52 頁 農(nóng)業(yè)、軍事上都有它的身影,而作為下位機(jī)的單片機(jī)更是不可或缺。有了它,各 種設(shè)備都提高了智能化程度,增加了功能,使人們的生活更加方便舒適。在很多 工業(yè)自動(dòng)控制場(chǎng)合,其應(yīng)用是最廣泛的。很多工作環(huán)境是不允許人們進(jìn)行實(shí)地考 察和工作的,這時(shí),只能靠單片機(jī)進(jìn)行采集工作,由遠(yuǎn)程的 PC 進(jìn)行控制,這樣 大大增加工人們的安全系數(shù)。而在產(chǎn)品生產(chǎn)過程中也是采用了這樣的方式,由于 單片機(jī)在電腦的控制下效率有了明顯的提高,大幅度減輕了工人的工作強(qiáng)度。可 以說今天工業(yè)上巨大發(fā)展是離不開 PC 機(jī)與單片機(jī)的。 1.21.2 串行通信的基本原理串行通信的基本原理 串行通信是指數(shù)據(jù)按位順序傳送的通信。串行數(shù)據(jù)傳送的特點(diǎn)是:通信線路 簡(jiǎn)單,最多只需一對(duì)傳輸線即可實(shí)現(xiàn)通信,成本低但速度慢,其通信線路既能傳 送數(shù)據(jù)信息,又能傳送聯(lián)絡(luò)控制信息;它對(duì)信息的傳送格式有固定要求,具體分 為異步和同步兩種信息格式與此相應(yīng)有異步通信和同步通信兩種方式;在串行 通信中,對(duì)信息的邏輯定義與 TTL 不兼容,需要進(jìn)行邏輯電平轉(zhuǎn)換:計(jì)算機(jī)與外 界的數(shù)據(jù)傳送大多是串行的,其傳送的距離可以從幾米到幾千公里。單片機(jī)中使 用的串行通信通常都是異步方式的。 1.2.1 異步傳送方式 異步傳送的特點(diǎn)是數(shù)據(jù)在線路上的傳送是不連續(xù)的。在線路上數(shù)據(jù)是以一個(gè) 字(或稱字符)為單位來傳送的。異步傳送時(shí),各個(gè)字符可以是接連傳送的,也可 以是間斷傳送的。這完全由發(fā)送方根據(jù)需要來決定的。另外在異步傳送時(shí),同 步時(shí)鐘脈沖并不傳送到接收方,即雙方各用自己的時(shí)鐘源來控制發(fā)送和接收。 1.2.2 同步傳送方式 同步傳送是一種連續(xù)傳送數(shù)據(jù)的方式。在通信開始以后,發(fā)送端連續(xù)發(fā)送字 符,接收端也連續(xù)接收字符,直到通信告一段落。同步傳送時(shí),字符與字符之間 沒有間隙,也不用起始位和停止位。 1.2.3 通信中數(shù)據(jù)的傳送方式 通常在串行通信中。數(shù)據(jù)在兩個(gè)站之間是雙向傳送的,既可以 A 站作發(fā)送端, B 站作接收端:也可以 B 站作發(fā)送端,A 站接收端。根據(jù)具體的需要,又可分為 半雙工(Half Duplex)和全雙工(FullDuplex),半雙工只有一條傳輸線,盡管傳輸也 可以雙向進(jìn)行,但每次只能有一個(gè)站發(fā)送,另一個(gè)站接收。即可以是 A 發(fā)送到 第 3 頁 共 52 頁 B,也可以是 B 發(fā)送到 A,但 A,B 不能同時(shí)發(fā)送當(dāng)然也不能同時(shí)接收。而全 雙工有兩條傳輸線,因此兩個(gè)站既可以同時(shí)發(fā)送,又可以同時(shí)接收,或者說一個(gè) 站可以同時(shí)進(jìn)行發(fā)收。 1.31.3 通信過程的實(shí)現(xiàn)通信過程的實(shí)現(xiàn) RS-232RS-232 串行接口標(biāo)準(zhǔn)串行接口標(biāo)準(zhǔn) 為了便于計(jì)算機(jī)和各種外圍設(shè)備的串行通信連接,更廣義地來講是為了各種 數(shù)據(jù)終端設(shè)備(DTE)和數(shù)據(jù)通信設(shè)備(DCE)之間的連接。制定了若干種串行通信 接口標(biāo)準(zhǔn)。只要是符合某種標(biāo)準(zhǔn)的設(shè)備之間就可以直接互相連接、互相通信。 RS-232 串行接口標(biāo)準(zhǔn)是目前最常用的標(biāo)準(zhǔn)之一。RS-232-C 串行通信接口是美 國(guó)電氣工業(yè)協(xié)會(huì)(EIA)與 BELL 公司等一起開發(fā)的一種標(biāo)準(zhǔn)通信協(xié)議,現(xiàn)在它在終 端、外設(shè)與計(jì)算機(jī)中被廣泛應(yīng)用。完整的 RS-232 接口有 25 根線,采用一種 25 芯 (針)的插頭座,彼此連接十分方便?,F(xiàn)在經(jīng)常采用一種 9 針的插座來互相連接, 因?yàn)?25 條線種最經(jīng)常使用的只有 9 條線。 1.41.4 RS-232RS-232 標(biāo)準(zhǔn)接口的實(shí)現(xiàn)及電平轉(zhuǎn)換標(biāo)準(zhǔn)接口的實(shí)現(xiàn)及電平轉(zhuǎn)換 Pc 機(jī)有兩個(gè)標(biāo)準(zhǔn)的 RS-232 串行口,其電平采用的是 EIA 電平,而單片機(jī)的 串行通信是由 T)(D(發(fā)送數(shù)據(jù))和 R 如(接收數(shù)據(jù))來進(jìn)行全雙工通信的,它們的 電平是 TTL 電平,為了 Pc 機(jī)與單片機(jī)之間能可靠地進(jìn)行串行通信,需要用電平 轉(zhuǎn)換芯片。由于 MCl488 和 MCl489 需要12V,+5v 電源供電,故采用 MAXIM 公司生產(chǎn)的低功耗單電源的 MAX232 芯片,因?yàn)樗陨韼в须娫措妷鹤儞Q器。 可以把+5V 電源變換成 RS-232 輸出電平所需的10V 電壓,能實(shí)現(xiàn) RS-232 的技 術(shù)指標(biāo),并只需要+5V 的電源,為串行通信帶來了較好的性能和低廉的價(jià)格。 1.51.5 數(shù)據(jù)采集系統(tǒng)及其發(fā)展現(xiàn)狀數(shù)據(jù)采集系統(tǒng)及其發(fā)展現(xiàn)狀 數(shù)據(jù)采集技術(shù)是信息科學(xué)的重要分支之一,它研究信息數(shù)據(jù)的采集、存儲(chǔ)、 處理以及控制等問題。是以傳感器、信號(hào)的測(cè)量與處理和微型計(jì)算機(jī)等高技術(shù)為 基礎(chǔ)而形成的一門綜合應(yīng)用技術(shù),廣泛應(yīng)用于各個(gè)領(lǐng)域,完成溫度、壓力、流量、 位移和速度等信號(hào)的采集與處理智能儀器儀表、信號(hào)檢測(cè)與處理及工業(yè)自動(dòng)控制 等數(shù)據(jù)采集系統(tǒng)是指從傳感器和其它待測(cè)設(shè)備等模擬和數(shù)字被測(cè)單元中自動(dòng)采集 信息的過程。數(shù)據(jù)采集系統(tǒng)是結(jié)合基于計(jì)算機(jī)的測(cè)量軟硬件產(chǎn)品來實(shí)現(xiàn)靈活的、 用戶自定義的測(cè)量系統(tǒng)。數(shù)據(jù)采集,又稱數(shù)據(jù)獲取,是利用一種裝置,從系統(tǒng)外 部采集數(shù)據(jù)并輸入到系統(tǒng)內(nèi)部的一個(gè)接口。被采集數(shù)據(jù)是已被轉(zhuǎn)換為電訊號(hào)的各 第 4 頁 共 52 頁 種物理量,如溫度、水位、風(fēng)速、壓力等,可以是模擬量,也可以是數(shù)字量。采 集一般是采樣方式,即隔一定時(shí)間(稱采樣周期)對(duì)同一點(diǎn)數(shù)據(jù)重復(fù)采集。采集的 數(shù)據(jù)大多是瞬時(shí)值,也可是某段時(shí)間內(nèi)的一個(gè)特征值。準(zhǔn)確的數(shù)據(jù)量測(cè)是數(shù)據(jù)采 集的基礎(chǔ)。數(shù)據(jù)量測(cè)方法有接觸式和非接觸式,檢測(cè)元件多種多樣。不論哪種方 法和元件,均以不影響被測(cè)對(duì)象狀態(tài)和測(cè)量環(huán)境為前提,以保證數(shù)據(jù)的正確性。 數(shù)據(jù)采集含義很廣,包括對(duì)面狀連續(xù)物理量的采集。 在互聯(lián)網(wǎng)行業(yè)快速發(fā)展的今天,數(shù)據(jù)采集已經(jīng)被廣泛應(yīng)用于互聯(lián)網(wǎng)及分布式 領(lǐng)域,數(shù)據(jù)采集領(lǐng)域已經(jīng)發(fā)生了重要的變化。首先,分布式控制應(yīng)用場(chǎng)合中的智 能數(shù)據(jù)采集系統(tǒng)在國(guó)內(nèi)外已經(jīng)取得了長(zhǎng)足的發(fā)展。其次,總線兼容型數(shù)據(jù)采集插 件的數(shù)量不斷增大,與個(gè)人計(jì)算機(jī)兼容的數(shù)據(jù)采集系統(tǒng)的數(shù)量也在增加。國(guó)內(nèi)外 各種數(shù)據(jù)采集機(jī)先后問世,將數(shù)據(jù)采集帶入了一個(gè)全新的時(shí)代。數(shù)據(jù)采集已長(zhǎng)時(shí) 間地被認(rèn)為與數(shù)據(jù)記錄及其它數(shù)據(jù)收集系統(tǒng)相等同。在工作時(shí),一些要打印出硬 拷貝,而另一些則把采集的數(shù)據(jù)饋送給主計(jì)算機(jī)處理。但隨著分布式控制在各個(gè) 領(lǐng)域里越來越普遍地應(yīng)用,數(shù)據(jù)采集設(shè)備開始同控制設(shè)備相結(jié)合。測(cè)量技術(shù)在其 發(fā)展過程中,會(huì)不斷產(chǎn)生新的測(cè)量需求,對(duì)測(cè)量數(shù)據(jù)的多樣性及準(zhǔn)確性的要求也 正在逐步提高。作為信息源頭的傳感器對(duì)測(cè)試計(jì)量技術(shù)的發(fā)展有著重要作用。在 21 世紀(jì),傳感器在多功能性和智能性方向的發(fā)展仍將對(duì)測(cè)量技術(shù)的發(fā)展產(chǎn)生深刻 的影響。 在當(dāng)今網(wǎng)絡(luò)化時(shí)代,以因特網(wǎng)為代表的計(jì)算機(jī)網(wǎng)絡(luò)通信的發(fā)展和應(yīng)用取得了 前所未有的突破和成功,測(cè)量技術(shù)的發(fā)展當(dāng)然也離不開互連網(wǎng)。網(wǎng)絡(luò)化測(cè)量和(對(duì) 網(wǎng)中儀器設(shè)備的)控制技術(shù)正隨著網(wǎng)絡(luò)的發(fā)展而迅速發(fā)展,其優(yōu)勢(shì)令人矚目。憑借 自身優(yōu)良的性能,網(wǎng)絡(luò)化測(cè)量和控制已經(jīng)成為測(cè)量技術(shù)發(fā)展的必然趨勢(shì)?,F(xiàn)代控 制技術(shù)的發(fā)展對(duì)測(cè)量技術(shù)不斷提出了新要求。隨著科技的快速發(fā)展,現(xiàn)代生產(chǎn)的 自動(dòng)化程度在提高,技術(shù)難度在增加,采用的控制技術(shù)、控制系統(tǒng)的組成和方式 都在不斷變化,各類控制系統(tǒng)和裝置沒有完善的檢測(cè)手段是不可能適應(yīng)要求的。 1.61.6 設(shè)計(jì)目標(biāo)設(shè)計(jì)目標(biāo) 該設(shè)計(jì)要求實(shí)現(xiàn): (1) 、設(shè)計(jì)串行通信接口,實(shí)現(xiàn)與 PC 機(jī)的通信; (2) 、具有人機(jī)對(duì)話功能,可通過鍵盤進(jìn)行輸入; 第 5 頁 共 52 頁 (3) 、LED 顯示器能夠根據(jù)按鍵的輸入進(jìn)行相應(yīng)的顯示; (4) 、能夠進(jìn)行 A/D 轉(zhuǎn)換功能; (5) 、能夠進(jìn)行 D/A 轉(zhuǎn)換功能; (6) 、自制+5V 穩(wěn)壓電源。 設(shè)計(jì)結(jié)果要求: 完成電路的設(shè)計(jì),硬件電路應(yīng)該設(shè)計(jì)出原理圖,完成軟件程序的編寫(包括 流程圖和部分源代碼) 。 1.71.7 論文結(jié)構(gòu)論文結(jié)構(gòu) 本論文包括六個(gè)部分,分別為: 1.緒論(系統(tǒng)開發(fā)背景、設(shè)計(jì)的意義、設(shè)計(jì)目標(biāo)等) ; 2.方案的選擇; 3.系統(tǒng)硬件設(shè)計(jì)(包括各模塊的硬件電路設(shè)計(jì)、主要芯片的介紹等) ; 4.系統(tǒng)軟件設(shè)計(jì)(包括主程序流程圖的設(shè)計(jì)、控制算法、 ) ; 5.系統(tǒng)調(diào)試(包括軟件的調(diào)試) ; 6.附錄(包括硬件整機(jī)原理圖、元件清單、部分源程序代碼) 。 第 6 頁 共 52 頁 2 2 設(shè)計(jì)方案的選擇設(shè)計(jì)方案的選擇 根據(jù)設(shè)計(jì)目標(biāo)的要求,此系統(tǒng)可以采用以下兩種設(shè)計(jì)方案來實(shí)現(xiàn)。 方案一:設(shè)計(jì)的方框圖如圖 2.1 所示: 圖 2.1 方案一設(shè)計(jì)方框圖 從方案一的方框圖中我們可以看出用此設(shè)計(jì)方案設(shè)計(jì)的系統(tǒng)由信號(hào)源即被測(cè) 對(duì)象、傳感器、多路模擬開關(guān)、采樣保持電路、A/D 轉(zhuǎn)換電路、控制電路、PC 機(jī)、 D/A 轉(zhuǎn)換電路、低通濾波電路、功率放大電路、激勵(lì)裝置、電源電路等部分組成。 此方案的特點(diǎn)是:硬件電路的實(shí)現(xiàn)相當(dāng)?shù)膹?fù)雜,用到的元器件也較多;而且整個(gè) 系統(tǒng)的控制過程用軟件實(shí)現(xiàn)起來也比較的困難??傊擒浖陀布膶?shí)現(xiàn)都比較 的復(fù)雜。 方案二:設(shè)計(jì)的方框圖如圖 2.2 所示: 第 7 頁 共 52 頁 圖 2.2 方案二設(shè)計(jì)方框圖 從方案二的設(shè)計(jì)方框圖中可以看出用此方案設(shè)計(jì)的系統(tǒng)由電源電路、數(shù)據(jù)采 集放大電路、A/D 轉(zhuǎn)換電路、D/A 轉(zhuǎn)換電路、MAX232 串行接口電路、單片機(jī)外 圍電路、LED顯示電路、鍵盤電路等部分組成。此方案的特點(diǎn)是:硬件電路的實(shí) 現(xiàn)較為簡(jiǎn)單、所用元器件也較少、系統(tǒng)可以配上外部的各種傳感器采集電路作為 系統(tǒng)的被測(cè)對(duì)象的模擬輸入信號(hào)來源,如壓力、溫度、濕度的采集等等;配上相 應(yīng)的模擬采集電路和軟件就可以實(shí)現(xiàn)各種不同的功能、還能用 LED 數(shù)碼管十進(jìn)制 顯示相應(yīng)的測(cè)量數(shù)據(jù),如可以顯示測(cè)得的壓力、溫度、濕度;還可以實(shí)現(xiàn)和 PC 機(jī)串行通信和 ISP 編程下載功能,不需要編程器直接從 PC 上下載程序。 對(duì)比兩種設(shè)計(jì)方案,我選擇方案二來設(shè)計(jì)此系統(tǒng),因?yàn)榉桨付挠布娐繁?方案一較簡(jiǎn)單;方案二配上外部的采集電路和相應(yīng)的軟件就可以實(shí)現(xiàn)各種不同的 功能,而方案一只能實(shí)現(xiàn)一種功能;方案二的軟件控制過程也比方案一的較為簡(jiǎn) 單和直觀,方案二的性能也比方案一的穩(wěn)定,所以選擇方案二來設(shè)計(jì)此系統(tǒng)。 第 8 頁 共 52 頁 3 3 硬件系統(tǒng)設(shè)計(jì)硬件系統(tǒng)設(shè)計(jì) 3.13.1 硬件設(shè)計(jì)框圖硬件設(shè)計(jì)框圖 硬件電路的設(shè)計(jì)框圖就采用上面我們選擇的方案二的設(shè)計(jì)框圖。如圖 3.1 所 示: 圖 3.1 系統(tǒng)硬件設(shè)計(jì)框圖 本設(shè)計(jì)的主要硬件電路包括:電源電路、A/D 轉(zhuǎn)換電路、D/A 轉(zhuǎn)換電路、單 片機(jī)與 PC 機(jī)串行通信接口和 ISP 編程下載電路、單片機(jī)外圍電路、LED 顯示電 路、鍵盤電路等部分。 3.23.2 系統(tǒng)的硬件詳細(xì)設(shè)計(jì)系統(tǒng)的硬件詳細(xì)設(shè)計(jì) 系統(tǒng)的硬件詳細(xì)圖如圖 3.2 所示: 第 9 頁 共 52 頁 圖 3.2 系統(tǒng)硬件詳細(xì)設(shè)計(jì)圖 vdd 1 ain 2 shdn 3 ref 4 gnd 5 dout 6 cs 7 sclk 8 U 5 M A X 187 VCC C 9 4.7F C 8 0.7F C 10 4.7F 1 6 2 7 3 8 4 9 5 J7 D B9 R1 IN 13 R2 IN 8 T1 IN 11 T2 IN 10 GND 15 V+ 2 V- 6 VCC 16 R1 OUT 12 R2 OUT 9 T1 OUT 14 T2 OUT 7 C1+ 1 C1 - 3 C2+ 4 C2 - 5 U 10 MAX232EWE(16) C 3 0.1F C 5 0.1F C 4 0.1F C 6 0.1F RXD/P3.0 TXD/P3.1 VCC Y 1 12M H z C 24 22F C 25 22F K 1 R 12 10K VCC C 2610F EA/VP 31 X1 19 X2 18 RESET 9 RD 17 WR 16 INT0 12 INT1 13 T0 14 T1 15 P10 1 P11 2 P12 3 P13 4 P14 5 P15 6 P16 7 P17 8 P00 39 P01 38 P02 37 P03 36 P04 35 P05 34 P06 33 P07 32 P20 21 P21 22 P22 23 P23 24 P24 25 P25 26 P26 27 P27 28 PSEN 29 ALE /P 30 TXD 11 RXD 10 VCC 40 GND 20 U 9 A T89S52 R 8 1K D 5 LED R 9 1K VCC Q1Q2 Q3Q4 A 1 B 2 C 3 G2A 4 G2B 5 G1 6 Y7 7 Y6 9 Y5 10 Y4 11 Y3 12 Y2 13 Y1 14 Y0 15 U 7 74LS138 R 1 1K R 2 1K R 3 1K R 4 1K BI/RBO 4 RBI 5 LT 3 A 7 B 1 C 2 D 6 a 13 b 12 c 11 d 10 e 9 f 15 g 14 U 8 74LS47 R 13 100R 14 100R 15 100R 16 100 R 17 100R 18 100R 19 100 a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 1 a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 2 a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 3 a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 4 VCC V C C Q5 R 5 1K a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 5 Q6 R 6 1K a bf c g d e DPY 1 2 3 4 5 6 7 a b c d e f g 8 dp dp LED 6 P 1.2 P 1.3 P 1.4 VCC 12 34 56 78 910 J6 IS P VCC D 4 R 7 1K VCC A0VCC A1WP A2SCL GNDSDA U 4 24C02 R 11 10K R 10 10K T0/P3.4 T1/P3.5 DIN 1 sclk 2 cs 3 outa 4 agnd 5 refin 6 outb 7 vdd 8 U 3 TLC5618 VCC P2.0 P2.1 P2.2 1 2 J2 CON2 1 2 3 J3 CON3 O U T A IN A IN 1 OUT 2 GND 3 U 2 M C 1403 GND GND VCC P P1 1. .5 5 P P1 1. .6 6 P P1 1. .7 7 R RE ES SE ET T P P1 1. .2 2 P P1 1. .3 3 P P1 1. .4 4 P P0 0. .0 0 P P0 0. .1 1 P P0 0. .2 2 P P0 0. .3 3 P P0 0. .4 4 P P0 0. .5 5 P P0 0. .6 6 P P0 0. .7 7 P P0 0. .4 4 P P0 0. .5 5 P P0 0. .6 6 P P0 0. .7 7 P P0 0. .0 0 P P0 0. .1 1 P P0 0. .2 2 P1 P2 P3 P4 P5 P6 K 3 K 4 K 5 K 6 K 2 VCCR 20 10K R 21 10K R 22 10K R 23 10K R 24 10K 第 10 頁 共 52 頁 3.33.3 主要芯片的介紹主要芯片的介紹 3.3.1 單片機(jī) AT89S52 AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程 Flash存儲(chǔ)器。使用Atmel公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51產(chǎn)品 指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程 器。在單芯片上,擁有靈巧的8位CPU和在系統(tǒng)可編程Flash,使得AT89S52為眾多 嵌入式控制應(yīng)用系統(tǒng)提 供高靈活、超有效的解決方案。 AT89S52 具有以下標(biāo)準(zhǔn)功能:8k 字節(jié) Flash,256 字節(jié) RAM, 32 位 I/O 口線,看 門狗定時(shí)器,2 個(gè)數(shù)據(jù)指針,三個(gè) 16 位定時(shí)器/計(jì)數(shù)器,一個(gè) 6 向量 2 級(jí)中斷結(jié)構(gòu), 全雙工串行口,片內(nèi)晶振及時(shí)鐘電路。另外,AT89S52 可降至 0Hz 靜態(tài)邏輯操作, 支持 2 種軟件可選擇節(jié)電模式??臻e模式下,CPU 停止工作,允許 RAM、定時(shí) 器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM 內(nèi)容被保存,振蕩器 被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。 其引腳封裝如圖 3.3 所示;主要引腳介紹如下: 圖 3.3 單片機(jī) AT89S52 封裝圖 (1)VCC、GND 電源、接地引腳; (2)P0口: :P0口是一個(gè)8位漏極開路的雙向I/O口。作為輸出口,每位能驅(qū)動(dòng)8 第 11 頁 共 52 頁 個(gè)TTL邏輯電平。對(duì)P0端口寫“1”時(shí),引腳用作高阻抗輸入。當(dāng)訪問外部程序和 數(shù)據(jù)存儲(chǔ)器時(shí),P0口也被作為低8位地址/數(shù)據(jù)復(fù)用。在這種模式下, P0具有內(nèi)部 上拉電阻。在flash編程時(shí),P0口也用來接收指令字節(jié);在程序校驗(yàn)時(shí),輸出指令 字節(jié)。程序校驗(yàn)時(shí),需要外部上拉電阻。 (3)P1口: :P1口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,p1輸出緩沖器能 驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P1端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可 以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因, 將輸出電流。此外,P1.0和P1.2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(P1.0/T2) 和時(shí)器/計(jì)數(shù)器2的觸發(fā)輸入(P1.1/T2EX),具體如下表所示。在flash編程和校驗(yàn) 時(shí),P1口接收低8位地址字節(jié)。 表3.1 P1引腳功能 引腳號(hào)第二功能 P1.0T2(定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出 P1.1T2EX(定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制) P1.5MOSI(在系統(tǒng)編程用) P1.6MISO(在系統(tǒng)編程用) P1.7SCK(在系統(tǒng)編程用) (4)P2 口: :P2 口是一個(gè)具有內(nèi)部上拉電阻的 8 位雙向 I/O 口,P2 輸出緩沖 器能驅(qū)動(dòng) 4 個(gè) TTL 邏輯電平。對(duì) P2 端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高, 此時(shí)可以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的 原因,將輸出電流。在訪問外部程序存儲(chǔ)器或用 16 位地址讀取外部數(shù)據(jù)存儲(chǔ)器時(shí), P2 口送出高八位地址。在這種應(yīng)用中,P2 口使用很強(qiáng)的內(nèi)部上拉發(fā)送 1。在使用 8 位地址訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),P2 口輸出 P2 鎖存器的內(nèi)容。在 flash 編程和校 驗(yàn)時(shí),P2 口也接收高 8 位地址字節(jié)和一些控制信號(hào)。 (5)P3口: :P3口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,p2輸出緩沖器能 驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P3端口寫“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可 以作為輸入口使用。作為輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因, 將輸出電流。P3口亦作為AT89S52特殊功能使用,如下表所示。 第 12 頁 共 52 頁 表3.2 P3引腳功能 引腳號(hào)第二功能 P3.0RXD(串行輸入) P3.1TXD(串行輸出) P3.2 (外部中斷 0)0INT P3.3 (外部中斷 0)0INT P3.4T0(定時(shí)器 0 外部輸入) P3.5T1(定時(shí)器 1 外部輸入) P3.6 (外部數(shù)據(jù)存儲(chǔ)器寫選通)WR P3.7 (外部數(shù)據(jù)存儲(chǔ)器寫選通) RD RST: 復(fù)位輸入。晶振工作時(shí),RST腳持續(xù)2個(gè)機(jī)器周期高電平將使單片機(jī)復(fù) 位。看門狗計(jì)時(shí)完成后,RST腳輸出96個(gè)晶振周期的高電平。特殊寄存器 AUXR(地址8EH)上 的DISRTO位可以使此功能無效。DISRTO默認(rèn)狀態(tài)下,復(fù)位高電平有效。 第 13 頁 共 52 頁 :地址鎖存控制信號(hào)(ALE)是訪問外部程序存儲(chǔ)器時(shí),鎖存低PROGALE / 8位地址的輸出脈沖。在flash編程時(shí),此引腳()也用作編程輸入脈沖。PROG 在一般情況下,ALE以晶振六分之一的固定頻率輸出脈沖,可用來作為外部 定時(shí)器或時(shí)鐘使用。然而,特別強(qiáng)調(diào),在每次訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),ALE脈沖 將會(huì)跳過。如果需要,通過將地址為8EH的SFR的第0位置“1”,ALE操作將無效。 這一位置“1”, ALE 僅在執(zhí)行MOVX 或MOVC指令時(shí)有效。否則,ALE將被 微弱拉高。這個(gè)ALE使能標(biāo)志位(地址為8EH的SFR的第0位)的設(shè)置對(duì)微控制器 處于外部執(zhí)行模式下無效。 :外部程序存儲(chǔ)器選通信號(hào)()是外部程序存儲(chǔ)器選通信號(hào)。當(dāng)PSENPSEN AT89S52從外部程序存儲(chǔ)器執(zhí)行外部代碼時(shí),在每個(gè)機(jī)器周期被激活兩次,PSEN 而在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),將不被激活。PSEN :訪問外部程序存儲(chǔ)器控制信號(hào)。為使能從0000H 到FFFFH的外部程VPPEA/ 序存儲(chǔ)器讀取指令,必須接GND。為了執(zhí)行內(nèi)部程序指令,應(yīng)該接VCC。EAEA 在flash編程期間,也接收12伏VPP電壓。EA XTAL1:振蕩器反相放大器和內(nèi)部時(shí)鐘發(fā)生電路的輸入端。 XTAL2:振蕩器反相放大器的輸出端。 3.3.2A/D 轉(zhuǎn)換芯片 MAX187 MAX187 是串行 12 位 ADC,內(nèi)含高速采樣保持器和基準(zhǔn)電源,3 條串口線, 接口標(biāo)準(zhǔn)與 ISP 兼容,其主要特性如下: (1)12 位精度; (2)+5V 單電源供電; (3)正常工作電流小于 1.5mA; (4)最大轉(zhuǎn)換時(shí)間為 8.5s,采樣時(shí)間為 1.5s; (5)采樣頻率達(dá) 75Kbps,串行數(shù)據(jù)輸出速率可達(dá)到 5MHz; (6)小管腳 8 腳 DIP 封裝。 第 14 頁 共 52 頁 MAX187 的封裝圖如圖 3.4 所示: 圖 3.4 MAX187 的封裝圖 (1)VDD +5V 電源; (2)AIN 模擬量輸入,電壓范圍 0VREF; (3) 操作模式選擇,低電平為休眠模式,正常操作模式為高電平或懸 空,高電時(shí)使用內(nèi)部參考模式,懸空時(shí)禁止使用內(nèi)部參考; (4)REF 參考電壓,內(nèi)部參考為 4.096V,使用內(nèi)部參考時(shí)此引腳對(duì)地接 一個(gè) 4.7F 的電容。使用外部參考時(shí),接 2.5VVDD 的基準(zhǔn)電 壓; (5)GND 接地引腳; (6)DOUT 數(shù)據(jù)輸出引腳; (7) 片選信號(hào)輸入引腳; (8)SCLK 時(shí)鐘信號(hào)輸入引腳,最高為 5MHz。 3.3.3 D/A 轉(zhuǎn)換芯片 TLC5618 TLC5618 是美國(guó) TexasInstruments 公司生產(chǎn)的帶有緩沖基準(zhǔn)輸入的可編程雙 路 12 位數(shù)/模轉(zhuǎn)換器。DAC 輸出電壓范圍為基準(zhǔn)電壓的兩倍,且其輸出是單調(diào)變 化的。該器件使用簡(jiǎn)單,用 5V 單電源工作,并包含上電復(fù)位功能以確保可重復(fù)啟 動(dòng)。 通過 CMOS 兼容的 3 線串行總線可對(duì) TLC5618 實(shí)現(xiàn)數(shù)字控制。器件接收用于編 程的 16 位字產(chǎn)生模擬輸出。數(shù)字輸入端的特點(diǎn)是帶有斯密特觸發(fā)器,因而具有高 的噪聲抑制能力。 TLC5618 的特點(diǎn): 第 15 頁 共 52 頁 (1)可編程至 0.5LSB 的建立時(shí)間; (2)兩個(gè) 12 位的 CMOS 電壓輸出 DAC; (3)單電源工作; (4)3 線串行接口; (5)高阻抗基準(zhǔn)輸入; (6)電壓輸出范圍為基準(zhǔn)電壓的兩倍; (7)軟件斷電方式; (8)內(nèi)部上電復(fù)位; (9)低功耗,慢速方式為 3mW,快速方式為 8mW; (10)1.21MHz 輸入數(shù)據(jù)更新速率; (11)在工作溫度范圍內(nèi)單調(diào)變化。 TLC5618 的引腳排列如圖 3.5 所示,各個(gè)引腳的功能如下所述: 圖 3.5 TLC5618 的引腳封裝 (1)DIN 數(shù)據(jù)輸入; (2)SCLK 串行時(shí)鐘輸入; (3)CS 芯片選擇,低電平有效; (4)OUTA DACA 模擬輸出; (5)AGND 模擬地; (6)REFIN 基準(zhǔn)電壓輸入; (7)OUTB DACB 模擬輸出; (8)VDD 正電源。 第 16 頁 共 52 頁 3.43.4 各功能模塊電路的設(shè)計(jì)各功能模塊電路的設(shè)計(jì) 3.4.1 串行通信電路 單片機(jī)與 PC 機(jī)串行通信接口電路及編程下載電路如圖 3.6 所示: 圖 3.6 單片機(jī)與 PC 機(jī)串行通信接口的電路 本設(shè)計(jì)的串行通信部分主要是由 MAX232 電平轉(zhuǎn)換電路和 ISP 編程下載電路 組成,其原理是:MAX232 芯片把單片機(jī)引腳的 COMS 電平(05V)轉(zhuǎn)換為 RS-232 電平(-12V +12V) ,AT89S52 單片機(jī)有一個(gè)全雙工的串行通信口,而 PC 機(jī)有一個(gè) RS-232 的通信接口。只要用 RS-232 D 型 9 針的引腳的雙邊母頭接到 PC 機(jī)上,而另一頭和 MAX232 相連接,MAX232 的輸出再和 AT58S52 相連就可 以實(shí)現(xiàn)單片機(jī)和 PC 機(jī)的串行通信1。具體連線如上圖 8 所示,AT89S52 的串行 第 17 頁 共 52 頁 通信引腳的 TXD 和 RXD 分別接到 MAX232 的 T1IN 和 T1OUT 上,MAX232 的 R1OUT 和 R1IN 分別接到 RS-232 的 2、3 上,RS-232 的 5 腳接地。MAX232 外圍 元件只有四個(gè)電容,根據(jù) MAX232 的典型應(yīng)用電路,可取 0.1F 50V 的電解電容。 ISP 編程口和 AT89S52 的連線為:AT89S52 的 P1.5、P1.6 P1.7、RESET 分別連接 到 ISP 的 3、4、5、7 腳上,ISP 的 1、2 腳聯(lián)合接電源 9、10 腳聯(lián)合接地即可.直 接從上位機(jī)上下載可執(zhí)行的二進(jìn)制代碼文件,無須外加寫讀器。D1 為下載指示燈, R1 為限流電阻,發(fā)光二極管的壓降為 2V,電流取 5mA,其阻值為:R1=5- 2/0.005=600,考慮到和 ISP 一起共電,在此取 1K。 3.4.2 單片機(jī)外圍電路 單片機(jī)外圍電路如圖 3.7 所示: 圖 3.7 單片機(jī)外圍電路 單片機(jī)外圍電路由復(fù)位電路、晶振電路、外部存儲(chǔ)器電路組成。復(fù)位電路采 第 18 頁 共 52 頁 用上電和按鍵都有效的復(fù)位電路。此電路能實(shí)現(xiàn)開機(jī)和單片機(jī)在運(yùn)行時(shí)的復(fù)位, 開機(jī)復(fù)位要求接通電源后,單片機(jī)自動(dòng)實(shí)現(xiàn)復(fù)位操作,開機(jī)瞬間單片機(jī)的 RST 引 腳獲得高電平,隨著電容 C7 的充電 RST 的高電平將逐漸下降。RST 引腳的高電 平只要能保持足夠的時(shí)間(2 個(gè)機(jī)器周期) ,單片機(jī)就可以進(jìn)行復(fù)位操作。此電路 還可以實(shí)現(xiàn)單片機(jī)在運(yùn)行期間,手動(dòng)來實(shí)現(xiàn)復(fù)位操作,在晶振為 12MHz 時(shí),C7 為 10F、R1 為 10K、R2 為 1K。單片機(jī)的時(shí)鐘信號(hào)為內(nèi)部時(shí)鐘方式,單片機(jī)內(nèi)部 有一振蕩電路,只要在單片機(jī)的 XTAL1 和 XTAL2 引腳外接一石英晶體振蕩器, 就構(gòu)成了自激振蕩器并在單片機(jī)內(nèi)部產(chǎn)生了時(shí)鐘脈沖信號(hào),圖中 C5 和 C6 的作用 是穩(wěn)定頻率和快速起振,電容值選為 22F2。 24C02 是外部存儲(chǔ)器,它的 A0-A2 管腳是地址腳,當(dāng)一個(gè)電路中有多個(gè) I2C 總線元器件時(shí),單片機(jī)通過設(shè)置這三個(gè)管腳來區(qū)分是與那個(gè)元器件通信?,F(xiàn)只有 這一個(gè) I2C 總線芯片,所以 P3.4 和 P3.5 上傳輸?shù)?I2C 信號(hào)只能是與這個(gè)芯片進(jìn)行 通信。我們將此三個(gè)管腳接地,表示其地址為 000B。 3.4.3 A/D 轉(zhuǎn)換和 D/A 轉(zhuǎn)換電路 A/D 轉(zhuǎn)換和 D/A 轉(zhuǎn)換電路如圖 3.8 所示: 第 19 頁 共 52 頁 圖 3.8 A/D 和 D/A 轉(zhuǎn)換電路 A/D 轉(zhuǎn)換電路主要由 A/D 轉(zhuǎn)換芯片 MAX187 和外圍電容組成;D/A 轉(zhuǎn)換電路 主 D/A 轉(zhuǎn)換芯片 TLC5618 組成,MC1403 是為 TLC5618 提供基準(zhǔn)參考電壓而設(shè) 置的。 MAX187 是 12 位 AD 轉(zhuǎn)換芯片,C20 和 C12 并聯(lián)起電源去藕的作用。為保 證采樣精度,最好 將 MAX187 與 AT89S52 分開供電。4 腳為參考端接一個(gè) 4.7F 的電容,這是使用內(nèi)部 4.096 V 參考電壓方式。輸入模擬信號(hào)的電壓范圍為 04.096 V,如模擬輸入電壓不在這個(gè)范圍要外加電路進(jìn)行電壓范圍的變換。其 工作過程是:使用內(nèi)部參考時(shí),電源開啟后,經(jīng)過 20 ms 后參考引腳的 4.7F 電 容充電完成,可進(jìn)行正常的轉(zhuǎn)換操作。當(dāng)為低電平時(shí),在下降沿 MAX187 的 TH 電路進(jìn)入保持狀態(tài),并開始轉(zhuǎn)換,8.5s 后 DOUT輸出為高電平作為轉(zhuǎn)換完 成標(biāo)志。這時(shí)可在 SCLK 端輸入一串脈沖將結(jié)果從 DOUT 端移出,讀入單片機(jī)中處 理。數(shù)據(jù)讀取完成后將置為高電平。要注意的是:在置為低電平啟動(dòng) A/D 轉(zhuǎn)換后, 第 20 頁 共 52 頁 檢測(cè)到 DOUT 有效(或者延時(shí) 8.5s 以上) ,才能發(fā) SCLK 移位脈沖讀數(shù)據(jù), SCLK 至少為 13 個(gè),發(fā)完脈沖后應(yīng)將置為高電平。MAX187 完整的操作時(shí)序如圖 3.9 所示。 圖 3.9 MAX187 的操作時(shí)系 D/A 轉(zhuǎn)換電路主要由 D/A 轉(zhuǎn)換芯片 TLC5618 組成,TLC5618 是帶有緩沖基 準(zhǔn)輸入的可編程雙路 12 位數(shù)/模轉(zhuǎn)換器。TLC5618 的內(nèi)部結(jié)構(gòu)如下圖圖 3.10 所示, TLC5618 由 12 位的 A/D 轉(zhuǎn)換器、A 邏輯控制器、雙緩沖寄存器、16 位移位寄存 器、上電復(fù)位電路及寄存器 A、B 組成。上電復(fù)位功能可確保重復(fù)啟動(dòng)。DAC 輸 出電壓范圍為基準(zhǔn)電壓的兩倍,其輸出極性與基準(zhǔn)電壓輸入相同;基準(zhǔn)電壓來自 基準(zhǔn)電壓芯片 MC1403 的輸出端,其輸出基準(zhǔn)電壓為 VO=2.5V+0.025V,上電時(shí) 內(nèi)部電路把 DAC 寄存器復(fù)位至 0,輸出緩沖器具有可達(dá)電源電壓幅度的輸出。 圖 3.10 TLC5618 的內(nèi)部結(jié)構(gòu) 16 位的數(shù)據(jù)中,前 4 位(D15D12)為編程控制位,其功能如下表 1 所示; 后 12 位(D11D0)為數(shù)據(jù)位,用于模擬數(shù)據(jù)的輸出。從表 1 中可以看出, TLC5618 可工作在三種數(shù)據(jù)傳送方式。 第 21 頁 共 52 頁 TLC5618 的最大串行時(shí)鐘速率為:f(SCLK)max=1/tW(CH)mintW(CL)min =20MHz 數(shù)據(jù)的更新速率受片選周期限制,此時(shí) tp(cs)=16Xtw(CH)+tw(CL)+tsU(CS1) =820ns 對(duì)于滿度輸入階躍跳變,移位寄存器至 12 位寄存器的建立時(shí)間限制了更新速率。 表 1 可知建立時(shí)間可選為 3s 或 15s。 當(dāng)片選(CS)為低電平時(shí),輸入數(shù)據(jù)由時(shí)鐘定時(shí),以最高有效位在前的方式 讀入 16 位移位寄存器, SCLK 的下降沿把數(shù)據(jù)移入寄存器 A、B,然后 CS 的上 升沿把數(shù)據(jù)送到 12 位 DAC 轉(zhuǎn)換器。所有 CS 的跳變應(yīng)當(dāng)發(fā)生在 SCLK 輸入為低 電平時(shí)。D15D12 編程控制位如下表 3.3 所示,TLC5618 的時(shí)序如圖 3.11 所示。 圖 3.11 TLC5618 的操作時(shí)序 表 3.4 D15D12 編程控制位的功能 編 程 位 D15D14D13D12 代 碼 功 能 1XXX 把串行接口寄存器的數(shù)據(jù)寫入鎖存器 A 并用緩沖器鎖存數(shù)據(jù)更 新鎖存器 B 0XX0寫鎖存器 B 和雙緩沖鎖存器 0XX1僅寫雙緩沖鎖存器 X1XX14S 建立時(shí)間 X0XX3S 建立時(shí)間 XXXX上電(Powerup)操作 XX1X斷電(Powerdown)方式 第 22 頁 共 52 頁 3.4.4 LED 數(shù)碼管顯示電路 LED 數(shù)碼顯示電路如圖 3.12 所示: 圖 3.12 LED 數(shù)碼顯示電路 LED 顯示電路由 74LS138 譯碼器,三極管放大電路,限流電阻電路、共陽極 LED 數(shù)碼管、驅(qū)動(dòng)共陽級(jí) LED 數(shù)碼管的 74LS47 等組成。電路的工作原理是 38 譯碼器將單片機(jī)輸出的三位二進(jìn)制代碼不同的八種組合“翻譯”成不同的對(duì)應(yīng)輸 出信號(hào),其對(duì)應(yīng)的 8 種組合分別與一個(gè)輸出對(duì)應(yīng),8 個(gè)輸出在任何情況下都只有 一個(gè)有效,在此我們只用其中的 6 種對(duì)應(yīng)信號(hào)。因?yàn)橹挥?6 只數(shù)碼管;分別用來 選擇 6 只數(shù)碼管到底哪一只被點(diǎn)亮,如輸出輸入 ABC=001 時(shí),則第一個(gè)數(shù)碼管被 選擇點(diǎn)亮;ABC=010 時(shí),第二個(gè)數(shù)碼管被點(diǎn)亮,其余的依此類推。采用逐個(gè)掃描 哪個(gè) LED 被點(diǎn)亮,由于人的視覺具有殘留效應(yīng),因此感覺不到閃爍。同時(shí) 74LS47 將單片機(jī)送來的 BCD 碼翻譯成 LED 顯示該 BCD 的七段信息輸出。驅(qū)動(dòng)共陽的 74LS47 數(shù)碼是低電平有效,當(dāng)輸入的段為邏輯“0”時(shí),對(duì)應(yīng) LED 的段才點(diǎn)亮。 如 74LS47 的輸入 DCBA=0001 時(shí),它轉(zhuǎn)換為十進(jìn)制就是 1,那么此時(shí)選中的數(shù)碼 管就要顯示十進(jìn)制的 1,那對(duì)應(yīng)的輸出就 abcdefg=0110000,其他情況是依此類推。 第 23 頁 共 52 頁 圖中三極管起驅(qū)動(dòng) LED 的作用,電阻用作限流作用,取三極管基極限流電阻 1K,LED 限流電阻 100。 3.4.5 鍵盤電路 鍵盤輸入電路與單片機(jī)的連接電路如圖 3.13 所示: 圖 3.13 鍵盤電路 鍵盤用以控制程序的執(zhí)行時(shí)數(shù)據(jù)的輸入或是特殊功能的設(shè)置及操作,在此設(shè) 計(jì)中用到 5 個(gè)按鍵,在硬件電路上采用 AT89S52 的 P2 端口的 5 條 I/O 線當(dāng)作輸 入用,用程序來控制,在鍵沒有按下的時(shí)候?qū)?yīng)的輸入端為高電平,當(dāng)有一個(gè)鍵 按下時(shí)對(duì)應(yīng)的輸入端為低電平,經(jīng)過輪流掃描判斷輸入端是否為低電平,便可以 知道是按下了哪個(gè)鍵。當(dāng)按下復(fù)位鍵時(shí)系統(tǒng)復(fù)位,單按下功能 1 鍵時(shí)發(fā)光二極管 D5 閃動(dòng) 2 次,當(dāng)按下功能 2 鍵 D5 閃動(dòng) 3 次,當(dāng)按下功能 3 鍵 D5 閃動(dòng) 4 次,相 第 24 頁 共 52 頁 應(yīng)的按下功能 4 鍵 D5 閃動(dòng) 5 次。圖中的電阻為上拉電阻,在此我們選擇阻值為 10K。 3.4.6 電源電路 電源電路如圖 3.14 所示: 圖 3.14 電源電路 電源電路是整個(gè)系統(tǒng)工作的能量來源,主要由變壓器、橋式整流電路、濾波 電容電路、低功率三端穩(wěn)壓器 LM7805 等組成。上圖中我們?cè)O(shè)計(jì)的是系統(tǒng)中所需 的+5V 的電源。其工作原理是變壓器把市電 220V 的交流電降壓為低壓交流電, 通過橋式整流電路把低壓交流整流為含有脈動(dòng)和諧波成份的直流電,再通過濾波 電容把其中含有的脈動(dòng)的交流成份濾出,就成為平緩的直流電,直流電壓不夠穩(wěn) 定,它再通過三端穩(wěn)壓器 LM7805 把電壓穩(wěn)定在一個(gè)基本不變的數(shù)值上,LM7805 輸出的穩(wěn)定的電壓就可以作系統(tǒng)的電源用了。 第 25 頁 共 52 頁 4 4 軟件系統(tǒng)設(shè)計(jì)軟件系統(tǒng)設(shè)計(jì) 4.14.1 主程序流程圖主程序流程圖 系統(tǒng)由 AT89S52 單片機(jī)作為中央控制器,控制各功能模塊的正常工作及數(shù)據(jù) 的接收和處理。系統(tǒng)分為五個(gè)模塊,分別為:時(shí)鐘、串行通信、鍵盤掃描、顯示子 程序。但在此我們沒有外部傳感器采集電路,因而不能進(jìn)行 A/D 和 D/A 轉(zhuǎn)換,整 個(gè)軟件系統(tǒng)是這樣來設(shè)計(jì)的:首先上位機(jī)編輯好源程序再轉(zhuǎn)換為相應(yīng)的可執(zhí)行的 二進(jìn)制代碼文件由串口通信程序經(jīng)過串口傳送到單片機(jī)中存儲(chǔ)。通過按鍵來控制 系統(tǒng)的功能,主程序的流程圖如圖所 4.1 示: 圖 4.1 系統(tǒng)主程序主程序流程圖 第 26 頁 共 52 頁 4.24.2 各子模塊程序設(shè)計(jì)各子模塊程序設(shè)計(jì) 4.2.1 時(shí)鐘處理模塊 時(shí)鐘子程序的流程圖如圖 4.2 所示: 圖 4.2 時(shí)鐘流程圖 4.2.2 鍵盤處理模塊 設(shè)有 5 個(gè)按鍵分別由 P2.3P2.7 來檢測(cè),當(dāng)開關(guān)被按下時(shí)為“0”電平,因此 要取反向和 0X0F作AND 運(yùn)算,但同時(shí)只能按下一個(gè)鍵,其源程序如下所示: 第 27 頁 共 52 頁 /one key detect Void Inputkey1(void) Byte keytmp; Keytmp= (P2.3-P2.7) /”0”:active If (keytmp= =1) keydata = KEY1; Else if (keytmp= =2) keydata =KEY2; Else if (keytmp= =4) keydata =KEY3; Else if(keytmp= =8) keydata =KEY4; Else if(keytmp= =16) keydaata =KEY5; 4.2.3 顯示模塊 顯示模塊程序的流程較簡(jiǎn)單,在此就不畫流程圖,其源程序如下所示: void ScrShift(uchar keyIndex) /*六位數(shù)碼管順序左移*/ uchar i; for(i=5;i0;i-) DisplayArrayi=DisplayArrayi-1; DisplayArray0=c_keyCodekeyIndex; void ClearScr(void) /*清屏*/ uchar i; for(i=0;i #include #define nop _nop_() #define uchar unsigned char #define uint unsigned int #define SCAN_CYCLE 500 /* */ /*Constant Tables*/ static const char c_keyCode16=0 x01,0 x02,0 x03,0 x0a, /*鍵盤 1,2,3,A*/ 0 x04,0 x05,0 x06,0 x0b, /*鍵盤 4,5,6,B*/ 0 x07,0 x08,0 x09,0 x0c, /*鍵盤 7,8,9,C*/ 0 x0e,0 x00,0 x0f,0 x0d; /*鍵盤 *,0,#,D*/ /*Constant Tables Over*/ /* */ /*DA 轉(zhuǎn)化 DAC5618 sbit DIN=P13; /定義 P1.3 為串行數(shù)據(jù)口 sbit CLK=P14; /P1.4 為串行時(shí)鐘端 sbit CS =P15; /P1.5 為片選端 /*AD 轉(zhuǎn)化 MAX187 sbit SCLK =P16; /MAX187 時(shí)鐘 第 37 頁 共 52 頁 sbit CS187=P17; /MAX187 片選 sbit SDOUT=P30; /MAX187 數(shù)據(jù)輸出 /*System Variables*/ uint keyFlag; uchar hour=10;min=0;sec=0; uchar DisplayArray6; static uchar LEDFlag=0; bit LEDCycle100msFlag=0,LEDCycle500usFlag=0,LEDCycle10msFlag=0,LEDAutoFlag= 1,LEDKeyFlag=0; static uchar s_musicCounter=0 x00,s_tableCounter; uchar Int0Flag,TH0Global,TL0Global; uchar UARTKeyFlag=0 xaa,modifyTimeFlag=0; /*System Variables Over*/ /* */ /*System Functions*/ void Login(void); void MainSystem(void); /*系統(tǒng)主程序*/ void ScanKeys(void); /*掃描鍵盤模塊*/ uchar KeyJudge(void); /*按鍵預(yù)處理*/ void KeyHandle(uchar keyIndex); /*按鍵處理模塊*/ void ScrShift(uchar keyIndex); /*六位數(shù)碼管順序左移*/ void ClearScr(void); /*清屏*/ void StatusSend(void); /*下位機(jī)狀態(tài)反饋(串口)*/ void Delay (uint value); /*10us 延時(shí)*/ void ModifyTime(void); /*調(diào)整時(shí)間*/ void ScanSeg7(); /*數(shù)碼管掃描顯示*/ /*System Functions Over*/ /* */ /* */ void main(void) /*主程序入口*/ TMOD=0 x11;/*Timer0 工作在案 MODE1,16 位定時(shí)器,Timer1 工作 在 MODE2,自動(dòng)重新裝載模式*/ /*| 定時(shí)器 1 | 定時(shí)器 1 |*/ /*| GATE|C/T| M1 | M0 | GATE|C/T| M1 | M0 |*/ TH0=(65536-SCAN_CYCLE)/256; /*設(shè)定 Timer0 每隔 SCAN_CYCLEu 中斷一 次*/ TL0=(65536-SCAN_CYCLE)%256; 第 38 頁 共 52 頁 TR0=1; /*啟動(dòng) TEMER0*/ TH1=(65536-10000)/256;/*設(shè)定 Timer1 每 10ms 中斷一次*/ TL1=(65536-10000)%256; T2CON=0 x38;/*令定時(shí)器 2 作為串口波特率發(fā)生器 /*|TF2|EXF2|RCLK|TCLK|EXEN2|TR2|C/T2|CP/RL2|*/ TL2=0 xd9;/*設(shè)定串口波特率為 9600,晶振為 12MHz*/ TH2=0 xff; RCAP2L=0 xd9; /*根據(jù)串口波特率 9600 設(shè)定自動(dòng)重載寄存器 RCAP2H=0 xff; TR2=1;/*啟動(dòng)定時(shí)器 2*/ SCON=0 x50;/*設(shè)定 UART 工作在 MODE3 模式,可傳送和接收數(shù) 據(jù),REN=1*/ /*| SM0| SM1| SM2| REN| TB8| RB8| TI | RI |*/ IE=0 x9a;/*使能總中斷、Timer0,1 中斷、外部中斷 1,

注意事項(xiàng)

本文(測(cè)控技術(shù)與儀器 畢業(yè)論文范文——基于單片機(jī)的串行通信系統(tǒng)開發(fā))為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!