歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

STC89C52單片機(jī)直流電機(jī)的設(shè)計(jì)電氣工程專業(yè)

  • 資源ID:46325234       資源大?。?span id="h0al5am" class="font-tahoma">891.28KB        全文頁數(shù):27頁
  • 資源格式: DOC        下載積分:20積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要20積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

STC89C52單片機(jī)直流電機(jī)的設(shè)計(jì)電氣工程專業(yè)

摘 要近年來,隨著科技的進(jìn)步,直流電機(jī)得到了越來越廣泛的應(yīng)用,直流電機(jī)具有優(yōu)良的調(diào)速特性:調(diào)速平滑,方便,調(diào)速范圍廣,過載能力強(qiáng),能承受頻繁的沖擊負(fù)載,可實(shí)現(xiàn)頻繁的無極快速起動(dòng)、制動(dòng)和反轉(zhuǎn),滿足生產(chǎn)過程自動(dòng)化系統(tǒng)各種不同的特殊要求。本系統(tǒng)以STC89C52單片機(jī)為核心,通過內(nèi)部定時(shí)器產(chǎn)生PWM波形,控制LN298大功率H橋路驅(qū)動(dòng)直流電機(jī)已達(dá)到控制轉(zhuǎn)速的目的。通過數(shù)碼管將速度等級顯示出來,此外分別從主控制芯片的選擇、顯示電路的比較與選擇、 電機(jī)調(diào)速控制模塊、電源電路與電機(jī)驅(qū)動(dòng)電路四個(gè)方面進(jìn)一步確定系統(tǒng)的總體設(shè)計(jì)方案,最后通過數(shù)碼管LED顯示程序設(shè)計(jì)以及電機(jī)控制子程序完成實(shí)驗(yàn)步驟,并在結(jié)論部分對系統(tǒng)調(diào)試過程中的常見的故障分析和注意事項(xiàng)做出了摘要說明,具有一定的理論和實(shí)際意義。關(guān)鍵詞:STC89C52; 雙向可逆控制系統(tǒng); PWM調(diào)速; 目錄緒論11系統(tǒng)總體方案31.1 主控制芯片的選擇31.2 顯示電路的比較與選擇41.3 電機(jī)調(diào)速控制模塊41.4 電源電路與電機(jī)驅(qū)動(dòng)電路42系統(tǒng)硬件方案設(shè)計(jì)52.1 系統(tǒng)總電路框圖設(shè)計(jì)53.2 系統(tǒng)模塊電路設(shè)計(jì)及原理53.2.1單片機(jī)最小系統(tǒng)設(shè)計(jì)53.2.2直流電機(jī)的調(diào)速設(shè)計(jì)83.2.3 測速發(fā)電機(jī)93.2.4 數(shù)碼管顯示電路設(shè)計(jì)93.2.5 L298N驅(qū)動(dòng)電路設(shè)計(jì)113.2.6 按鍵電路電路設(shè)計(jì)133系統(tǒng)的軟件設(shè)計(jì)153.1 系統(tǒng)的整體程序流程圖154.2 數(shù)碼管LED顯示程序設(shè)計(jì)154.3 電機(jī)控制子程序165系統(tǒng)調(diào)試185.1 常見的故障分析185.2 系統(tǒng)調(diào)試注意事項(xiàng)18結(jié)論18參考文獻(xiàn)19附錄一 硬件原理圖21附錄二 程序源代碼22致 謝241宿州學(xué)院本科生畢業(yè)設(shè)計(jì) 緒論(1)課題研究的背景近年來,隨著科技的進(jìn)步,直流電機(jī)得到了越來越廣泛的應(yīng)用,直流電機(jī)具有優(yōu)良的調(diào)速特性:調(diào)速平滑,方便,調(diào)速范圍廣,過載能力強(qiáng),能承受頻繁的沖擊負(fù)載,可實(shí)現(xiàn)頻繁的無極快速起動(dòng)、制動(dòng)和反轉(zhuǎn),滿足生產(chǎn)過程自動(dòng)化系統(tǒng)各種不同的特殊要求?,F(xiàn)代化的生產(chǎn)過程對直流電機(jī)的調(diào)速提出了更高的要求,改變電樞回路電阻調(diào)速、改變電壓調(diào)速等技術(shù)已遠(yuǎn)遠(yuǎn)不能滿足現(xiàn)代科技的要求,這時(shí)通過PWM方式控制直流電機(jī)轉(zhuǎn)速的方法應(yīng)運(yùn)而生。采取傳統(tǒng)的調(diào)速系統(tǒng)主要有以下的缺陷:模擬電路容易隨時(shí)間推移,會產(chǎn)生一些不必要的熱損耗,以及對噪聲敏感等。而用PWM技術(shù)后,避免上述的缺點(diǎn),實(shí)現(xiàn)了數(shù)字式控制模擬信號,可以大幅度減低成本和功耗。并且PWM調(diào)速系統(tǒng)開關(guān)頻率較高,僅靠電樞電感的濾波作用就可以獲得平滑的直流電流,低速特性好;同時(shí),開關(guān)頻率高,快響應(yīng)特性好,動(dòng)態(tài)抗干擾能力強(qiáng),可獲很寬的頻帶;開關(guān)元件只需工作在開關(guān)狀態(tài),主電路損耗小,裝置的效率高,具有節(jié)約空間、經(jīng)濟(jì)好等特點(diǎn)?,F(xiàn)代工業(yè)生產(chǎn)中,電動(dòng)機(jī)是主要的驅(qū)動(dòng)設(shè)備,目前在直流電動(dòng)機(jī)拖動(dòng)系統(tǒng)中已大量采用晶閘管(即可控硅)裝置向電動(dòng)機(jī)供電的KZD拖動(dòng)系統(tǒng),取代了笨重的發(fā)電動(dòng)一電動(dòng)機(jī)的FD系統(tǒng),又伴隨著電子技術(shù)的高度發(fā)展,促使直流電機(jī)調(diào)速逐步從模擬化向數(shù)字化轉(zhuǎn)變,特別是單片機(jī)技術(shù)的應(yīng)用,使直流電機(jī)調(diào)速技術(shù)又進(jìn)入到一個(gè)新的階段,智能化、高可靠性已成為它發(fā)展的趨勢。直流電機(jī)調(diào)速基本原理是比較簡單的(相對于交流電機(jī)),只要改變電機(jī)的電壓就可以改變轉(zhuǎn)速了。改變電壓的方法很多,最常見的一種PWM脈寬調(diào)制,調(diào)節(jié)電機(jī)的輸入占空比就可以控制電機(jī)的平均電壓,控制轉(zhuǎn)速。PWM控制的基本原理很早就已經(jīng)提出,但是受電力電子器件發(fā)展水平的制約,在上世紀(jì)80年代以前一直未能實(shí)現(xiàn)。直到進(jìn)入上世紀(jì)80年代,隨著全控型電力電子器件的出現(xiàn)和迅速發(fā)展,PWM控制技術(shù)才真正得到應(yīng)用。隨著電力電子技術(shù)、微電子技術(shù)和自動(dòng)控制技術(shù)的發(fā)展以及各種新的理論方法,如現(xiàn)代控制理論、非線性系統(tǒng)控制思想的應(yīng)用,PWM控制技術(shù)獲得了空前的發(fā)展,到目前為止,已經(jīng)出現(xiàn)了多種PWM控制技術(shù)。(2) 國內(nèi)外技術(shù)發(fā)展的現(xiàn)狀直流電動(dòng)機(jī)具有良好的起動(dòng)、制動(dòng)性能,宜于在大范圍內(nèi)平滑調(diào)速,在許多需要調(diào)速或快速正反向的電力拖動(dòng)領(lǐng)域中得到了廣泛的應(yīng)用。從控制的角度來看,直流調(diào)速還是交流拖動(dòng)系統(tǒng)的基礎(chǔ)。早期直流電動(dòng)機(jī)的控制均以模擬電路為基礎(chǔ),采用運(yùn)算放大器、非線性集成電路以及少量的數(shù)字電路組成,控制系統(tǒng)的硬件部分非常復(fù)雜,功能單一,而且系統(tǒng)非常不靈活、調(diào)試?yán)щy,阻礙了直流電動(dòng)機(jī)控制技術(shù)的發(fā)展和應(yīng)用范圍的推廣。隨著單片機(jī)技術(shù)的日新月異,使得許多控制功能及算法可以采用軟件技術(shù)來完成,為直流電動(dòng)機(jī)的控制提供了更大的靈活性,并使系統(tǒng)能達(dá)到更高的性能。采用單片機(jī)構(gòu)成控制系統(tǒng),可以節(jié)約人力資源和降低系統(tǒng)成本,從而有效的提高工作效率。(3)本設(shè)計(jì)的任務(wù)本系統(tǒng)已STC89C52單片機(jī)為核心,通過內(nèi)部定時(shí)器產(chǎn)生PWM波形,將其在數(shù)碼管將速度等級呈現(xiàn)出來,本設(shè)計(jì)的主要任務(wù)主要包括: (1)直流電機(jī)的正轉(zhuǎn)控制;(2)直流電機(jī)的反轉(zhuǎn)控制;(3)直流電機(jī)的加速控制;(4)直流電機(jī)的減速控制;(5)數(shù)碼管顯示電路設(shè)計(jì)與實(shí)現(xiàn);(6)單片機(jī)最小系統(tǒng)設(shè)計(jì);(7)電機(jī)驅(qū)動(dòng)電路設(shè)計(jì)191系統(tǒng)總體方案系統(tǒng)總體設(shè)計(jì)方案的步驟為先選擇主控制芯片,然后通過顯示電路的比較與選擇、電機(jī)調(diào)速控制模塊、電源電路與電機(jī)驅(qū)動(dòng)電路三個(gè)方面逐步確定系統(tǒng)的軟硬件指標(biāo),最終將設(shè)計(jì)分為硬件和軟件兩個(gè)部分分別詳細(xì)概括,本文的設(shè)計(jì)原理是主要以單片機(jī)為核心,通過內(nèi)部定時(shí)器產(chǎn)生PWM波形,控制LN298大功率H橋路驅(qū)動(dòng)直流電機(jī)控制轉(zhuǎn)速,并且將結(jié)果通過數(shù)碼管將速度等級顯示出來作為具體分析概述。系統(tǒng)總體方案如下所示:1.1 主控制芯片的選擇本次設(shè)計(jì)采用STC12C5A60S2單片機(jī)作為控制元器件,該系列單片機(jī)的始祖是Intel的8031單片機(jī),后來隨著Flash rom技術(shù)的發(fā)展,8031單片機(jī)取得了長足的進(jìn)展,成為目前應(yīng)用最廣泛的8位單片機(jī)之一,其代表型號是ATMEL公司的AT89系列,它廣泛應(yīng)用于工業(yè)測控系統(tǒng)之中。目前很多公司都有51系列的兼容機(jī)型推出,在目前乃至今后很長的一段時(shí)間內(nèi)將占有大量市場。51單片機(jī)是基礎(chǔ)入門的一個(gè)單片機(jī),還是應(yīng)用最廣泛的一種。需要注意的是52系列的單片機(jī)一般不具備自編程能力。51成本低廉,使用方便,適合與本系統(tǒng)的檢測與控制。1.2 顯示電路的比較與選擇數(shù)碼管顯示具有亮度高、顯示簡單簡潔、成本低廉,可靠性高、響應(yīng)速度快等有點(diǎn)。在實(shí)際工程中,人即便站在很遠(yuǎn)的位置也能看清楚數(shù)碼管顯示的信息。而且數(shù)碼管驅(qū)動(dòng)簡單,采用硬件驅(qū)動(dòng)即不需要程序控制,完全不占用CPU的資源。因此我們這里采用LED數(shù)碼管顯示信息 1.3 電機(jī)調(diào)速控制模塊采用由三極管組成的H型PWM電路。用單片機(jī)控制三極管使之工作在占空比可調(diào)的開關(guān)狀態(tài),精確調(diào)整電動(dòng)機(jī)轉(zhuǎn)速。這種電路由于工作在管子的飽和截止模式下,效率非常高;H型電路保證了可以簡單地實(shí)現(xiàn)轉(zhuǎn)速和方向的控制;電子開關(guān)的速度很快,穩(wěn)定性也極佳,是一種廣泛采用的PWM調(diào)速技術(shù)7。1.4 電源電路與電機(jī)驅(qū)動(dòng)電路本設(shè)計(jì)電源選擇直流穩(wěn)壓電源模塊。將插線板電源經(jīng)過變壓、整流、濾波、穩(wěn)壓后輸出。為系統(tǒng)提供穩(wěn)定可靠的電源。雖說會有一些不穩(wěn)定因素但攜帶方便,所以選擇鋰電池來負(fù)責(zé)電源。電機(jī)驅(qū)動(dòng)我采用大功率電機(jī)驅(qū)動(dòng)專用芯片。L298內(nèi)部繼承大功率H橋路。具有穩(wěn)定性高、使用簡單,驅(qū)動(dòng)力大等特點(diǎn)。能夠驅(qū)動(dòng)大功率電機(jī)和控制電機(jī)的正傳和反轉(zhuǎn),同時(shí)對于電機(jī)調(diào)速也是非常理想的選擇。因此采用此種方法。宿州學(xué)院本科生畢業(yè)設(shè)計(jì) 2系統(tǒng)硬件方案設(shè)計(jì)2.1 系統(tǒng)總電路框圖設(shè)計(jì) 本系統(tǒng)以STC89C52單片機(jī)為核心,通過內(nèi)部定時(shí)器產(chǎn)生PWM波形,控制LN298大功率H橋路驅(qū)動(dòng)直流電機(jī)已達(dá)到控制轉(zhuǎn)速的目的。通過數(shù)碼管將速度等級顯示出來,此外可以通過按鍵控制電機(jī)的正傳反轉(zhuǎn)、以及電機(jī)速度加和減。圖1 系統(tǒng)總體框圖3.2 系統(tǒng)模塊電路設(shè)計(jì)及原理3.2.1單片機(jī)最小系統(tǒng)設(shè)計(jì)單片機(jī)最小系統(tǒng)是整個(gè)系統(tǒng)的核心控制部分,以STC89C52單片機(jī)為核心,配以外圍電路:時(shí)鐘電路、上點(diǎn)復(fù)位電路構(gòu)成。主要完成數(shù)據(jù)的采集處理和轉(zhuǎn)換。MCS-51系列單片機(jī)是美國Intel公司在1980年繼MCS-48系列8位單片機(jī)之后推出的高檔8位單片機(jī),此單片機(jī)憑其穩(wěn)定的性能、高性價(jià)比以及良好的兼容,在各個(gè)領(lǐng)域得到了最為廣泛的應(yīng)用,也是我國目前應(yīng)用最廣的單片機(jī)系列。在性能和功能方面,MCS-51單片機(jī)大大優(yōu)于MCS-48單片機(jī)。MCS-51系列有多種機(jī)型可供用戶選擇8。(1)單片機(jī)內(nèi)部結(jié)構(gòu)MCS-51系列單片機(jī)最早的典型代表為8051,87581,8031,由于其型號和生產(chǎn)廠商的不同,在片內(nèi)存儲器容量、中斷系統(tǒng)、外圍功能模塊、最高時(shí)鐘頻率以及處理器速度等方面有很大的不同,但它們的指令系統(tǒng)完全兼容,硬件系統(tǒng)的基本結(jié)構(gòu)也相同,其主要的性能特點(diǎn)如下1) 8位CPU.2) 片內(nèi)128B RAM(MCS-52子系列有256B RAM) 。3) 片內(nèi)4KB ROM/EPROM(8051/8751).4) 特殊功能寄存器區(qū)。5) 兩個(gè)優(yōu)先級的5個(gè)中斷源結(jié)構(gòu)。6) 4個(gè)8位并行I/O口(P0,P1,P2,P3)。7) 兩個(gè)16位定時(shí)/計(jì)數(shù)器(MCS-52子系列有3個(gè)).8) 全雙工串行口。9) 布爾處理器。MCS-51的典型產(chǎn)品有8031,8051,8751.8051內(nèi)部有4KB ROM,8071內(nèi)部有4KB EPROM,8031片內(nèi)無ROM;除此之外,三者的內(nèi)部結(jié)構(gòu)及引腳完全相同。在單片機(jī)芯片的內(nèi)部,其基本結(jié)構(gòu)的構(gòu)成是通用CPU加上外圍芯片的模式,內(nèi)部主要由9個(gè)部件通過單一總線連接而成。這9個(gè)主要部件是:1個(gè)8位的中央處理器(包括ALU,ACC,TMP1,TMP2,B寄存器,PSW及相應(yīng)的定時(shí)和控制邏輯),4KB/8KB程序寄存器(ROM/EPROM),128B/256B的數(shù)據(jù)寄存器(RAM),32條I/O接口線(圖中P0.0P0.7,P1.0P1.7,P2.0P2.7,P3.0P3.7),中斷控制邏輯(具有5個(gè)中斷源,2個(gè)中斷優(yōu)先級),定時(shí)器控制邏輯(具有2個(gè)可編程定時(shí)器/計(jì)數(shù)器),串行接口控制邏輯(具有可工作于多處理機(jī)通信,I/O接口擴(kuò)展或全雙工通用異步接收發(fā)送器的串行接口),21個(gè)專用寄存器(包括程序計(jì)數(shù)器PC、堆棧指針寄存器SP、程序狀態(tài)字存器PSW、數(shù)據(jù)指針寄存器DPTR等)以及片內(nèi)振蕩器和時(shí)鐘電路(由OSC及相關(guān)電路組成)9。(2)復(fù)位電路邏輯圖RST引腳是復(fù)位信號的輸入端。復(fù)位信號是高電平有效,其有效時(shí)間應(yīng)持續(xù)24個(gè)振蕩周期(即兩個(gè)機(jī)器周期)以上。若使用頻率位6MHz的晶振,則復(fù)位信號持續(xù)時(shí)間應(yīng)超過4us才能完成復(fù)位操作。整個(gè)復(fù)位電路包括芯片內(nèi)、外兩部分。外部電路產(chǎn)生的復(fù)位信號(RST)送至施密特觸發(fā)器,再由片內(nèi)復(fù)位電路在每一個(gè)機(jī)器周期的S5P2時(shí)刻對觸發(fā)器的輸出進(jìn)行采樣,然后才得到內(nèi)部復(fù)位操作所需要的信號。復(fù)位操作有上電復(fù)位和按鍵手動(dòng)復(fù)位兩種方式。我們采用按鍵手動(dòng)復(fù)位的電平方式,如圖2。通過使復(fù)位端經(jīng)電阻與Vcc電源接通而實(shí)現(xiàn)。圖2 按鍵手動(dòng)復(fù)位原理圖 復(fù)位是單片機(jī)的初始化操作,其主要功能是把PC初始化為0000H,使單片機(jī)從0000H單元開始執(zhí)行程序。除此之外,當(dāng)由于程序運(yùn)行出錯(cuò)或操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài)時(shí),也需要按復(fù)位鍵重新啟動(dòng)。AT89S52是第9腳復(fù)位,當(dāng)有鍵按下去時(shí),復(fù)位端成高電平,單片機(jī)復(fù)位10。(3)振蕩電路晶體振蕩電路屬于反饋振蕩器。從能量的觀點(diǎn)來看,一般的功率放大器是在是在輸入信號的控制下,把直流電源提供的直流能量轉(zhuǎn)換為按信號規(guī)律變化的交變能量的電路。而反饋振蕩器是不需要輸入信號的控制就能自動(dòng)地將直流能量轉(zhuǎn)換為特定頻率和振幅的交變能量的電路。AT89S52芯片內(nèi)部都有一個(gè)高增益反相放大器用于構(gòu)成振蕩器晶體振蕩電路其屬于反饋振蕩器。振蕩又分外部振蕩和內(nèi)部振蕩11,如下圖所示:圖3 晶振時(shí)鐘原理圖設(shè)計(jì)中根據(jù)實(shí)際情況選擇了內(nèi)部振蕩這種方式對單片機(jī)進(jìn)行驅(qū)動(dòng)。反相放大器的輸入端為XATAL1,輸出端為XATAL2。分別是89S52的19腳和18腳,在XATAL1和XATAL2兩端跨接石英晶體及兩個(gè)電容,就構(gòu)成了穩(wěn)定的自激振蕩器。電容一般都取33P,單片機(jī)接11.0592MHz的晶振。振蕩器產(chǎn)生的信號送到CPU,作為CPU的時(shí)鐘信號,驅(qū)動(dòng)CPU產(chǎn)生執(zhí)行指令功能的機(jī)器周期。3.2.2直流電機(jī)的調(diào)速設(shè)計(jì)根據(jù)直流電機(jī)的基本原理,由感應(yīng)電勢、電磁轉(zhuǎn)矩以及機(jī)械特性方程式可知,直流電動(dòng)機(jī)的調(diào)速方法有三種: (1)調(diào)節(jié)電樞供電電壓U。改變電樞電壓主要是從額定電壓往下降低電樞電壓,從電動(dòng)機(jī)額定轉(zhuǎn)速向下變速,屬恒轉(zhuǎn)矩調(diào)速方法。對于要求在一定范圍內(nèi)無級平滑調(diào)速的系統(tǒng)來說,這種方法最好。Ia變化遇到的時(shí)間常數(shù)較小,能快速響應(yīng),但是需要大容量可調(diào)直流電源。 (2)改變電動(dòng)機(jī)主磁通。改變磁通可以實(shí)現(xiàn)無級平滑調(diào)速,但只能減弱磁通進(jìn)行調(diào)速(簡稱弱磁調(diào)速),從電機(jī)額定轉(zhuǎn)速向上調(diào)速,屬恒功率調(diào)速方法。If變化時(shí)間遇到的時(shí)間常數(shù)同Ia變化遇到的相比要大得多,響應(yīng)速度較慢,但所需電源容量小。 (3)改變電樞回路電阻R。在電動(dòng)機(jī)電樞回路外串電阻進(jìn)行調(diào)速的方法,設(shè)備簡單,操作方便。但是只能進(jìn)行有級調(diào)速,調(diào)速平滑性差,機(jī)械特性較軟;空載時(shí)幾乎沒什么調(diào)速作用;還會在調(diào)速電阻上消耗大量電能。 直流斬波器又稱直流調(diào)壓器,是利用開關(guān)器件來實(shí)現(xiàn)通斷控制,將直流電源電壓斷續(xù)加到負(fù)載上,通過通、斷時(shí)間的變化來改變負(fù)載上的直流電壓平均值,將固定電壓的直流電源變成平均值可調(diào)的直流電源,亦稱直流直流變換器。它具有效率高、體積小、重量輕、成本低等優(yōu)點(diǎn),現(xiàn)廣泛應(yīng)用于地鐵、電力機(jī)車、城市無軌電車以及電瓶搬運(yùn)車等電力牽引設(shè)備的變速拖動(dòng)中。 圖5為直流斬波器的原理電路和輸出電壓波型,圖中VT代表開關(guān)器件。當(dāng)開關(guān)VT接通時(shí),電源電壓U。加到電動(dòng)機(jī)上;當(dāng)VT斷開時(shí),直流電源與電動(dòng)機(jī)斷開,電動(dòng)機(jī)電樞端電壓為零。如此反復(fù),得電樞端電壓波形如圖5(b)所示。圖5 直流斬波器原理電路及輸出電壓波型 (a)原理圖(b)電壓波型采用晶閘管的直流斬波器基本原理與整流電路不同的是,在這里晶閘管不受相位控制,而是工作在開關(guān)狀態(tài)。當(dāng)晶閘管被觸發(fā)導(dǎo)通時(shí),電源電壓加到電動(dòng)機(jī)上,當(dāng)晶閘管關(guān)斷時(shí),直流電源與電動(dòng)機(jī)斷開,電動(dòng)機(jī)經(jīng)二極管續(xù)流,兩端電壓接近于零。脈沖寬度調(diào)制(Pulse Width Modulation),簡稱PWM。脈沖周期不變,只改變晶閘管的導(dǎo)通時(shí)間,即通過改變脈沖寬度來進(jìn)行直流調(diào)速。與V-M系統(tǒng)相比,PWM調(diào)速系統(tǒng)有下列優(yōu)點(diǎn):(1)由于PWM調(diào)速系統(tǒng)的開關(guān)頻率較高,僅靠電樞電感的濾波作用就可以獲得脈動(dòng)很小的直流電流,電樞電流容易連續(xù),系統(tǒng)的低速運(yùn)行平穩(wěn),調(diào)速范圍較寬,可達(dá)1:10000左右。由于電流波形比V-M系統(tǒng)好,在相同的平均電流下,電動(dòng)機(jī)的損耗和發(fā)熱都比較小。(2)同樣由于開關(guān)頻率高,若與快速響應(yīng)的電機(jī)相配合,系統(tǒng)可以獲得很寬的頻帶,因此快速響應(yīng)性能好,動(dòng)態(tài)抗擾能力強(qiáng)。(3)由于電力電子器件只工作在開關(guān)狀態(tài),主電路損耗較小,裝置效率較高。脈寬調(diào)速系統(tǒng)的主電路采用脈寬調(diào)制式變換器,簡稱PWM變換器。脈寬調(diào)速也可通過單片機(jī)控制繼電器的閉合來實(shí)現(xiàn),但是驅(qū)動(dòng)能力有限。目前,受到器件容量的限制,PWM直流調(diào)速系統(tǒng)只用于中、小功率的系統(tǒng)143.2.3 測速發(fā)電機(jī)當(dāng)被測機(jī)構(gòu)與測速發(fā)電機(jī)同軸連接時(shí),只要檢測出輸出電動(dòng)勢,即可以獲得被測機(jī)構(gòu)的轉(zhuǎn)速,所以測速發(fā)電機(jī)又稱速度傳感器。測速發(fā)電機(jī)廣泛應(yīng)用于各種速度或者位置控制系統(tǒng),在自動(dòng)控制系統(tǒng)中作為檢測速度的元件,以調(diào)節(jié)電動(dòng)機(jī)轉(zhuǎn)速或者通過反饋來提高系統(tǒng)穩(wěn)定性和精度。3.2.4 數(shù)碼管顯示電路設(shè)計(jì)(1)共陰數(shù)碼管管腳說明與原理圖七段LED顯示器內(nèi)部由七個(gè)條形發(fā)光二極管和一個(gè)小圓點(diǎn)發(fā)光二極管組成,根據(jù)各管的極管的接線形式,可分成共陰極型和共陽極型。 實(shí)訓(xùn)室實(shí)訓(xùn)扳上使用的是四位一體的共陰數(shù)碼管15。LED數(shù)碼管的封裝如圖6所示。圖6 數(shù)碼管的封裝形式及內(nèi)部結(jié)構(gòu)(2)數(shù)碼管碼值的推算LED數(shù)碼管的ADP七個(gè)發(fā)光二極管因以不同亮滅的組合就能形成不同的字形,這種組合稱之為字形碼,下面列出共陰極的字形碼,“數(shù)字”是要數(shù)碼管要顯示的數(shù)字,“字形碼”是單片機(jī)P0口要輸出的十六進(jìn)制數(shù)據(jù)。例如數(shù)字“0”和數(shù)字“7”的字形碼推算方法如圖7所示: 圖7 數(shù)碼管碼值的推算方法同理,按照上述的推倒方法和原則我們得出數(shù)字09的編碼。(3)數(shù)字的顯示規(guī)律查表法由于顯示的數(shù)字09的字形碼沒有規(guī)律可循,只能采用查表的方式來完成我們所需的要求了。這樣我們按著數(shù)字09的順序,把每個(gè)數(shù)字的筆段代碼按順序排好,建立的表格如下所示:TABLE DB=3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,當(dāng)我們要顯示一個(gè)數(shù)字,比如4的時(shí)候,我們就可以查表TABLE DB4找到66H,送P0口就可以了16。(4)數(shù)碼管顯示電路圖 圖8 數(shù)碼管顯示電路設(shè)計(jì)中,用到了四位七段共陰數(shù)碼管。LED是一類可直接將電能轉(zhuǎn)化為可見光和輻射能的發(fā)光器件,具有工作電壓低,耗電量小,發(fā)光效率高,發(fā)光響應(yīng)時(shí)間極短,光色純,結(jié)構(gòu)牢固,抗沖擊,耐振動(dòng),性能穩(wěn)定可靠,重量輕,體積小,成本低等一系列特性。數(shù)碼管的顯示電路連接分為串行接法和并行接法,使用串行接法可以節(jié)約I/O口資源,并行接法會占用過多的I/O口,由于考慮到操作的熟練與實(shí)際的資源,本設(shè)計(jì)采用了后者并行接法。3.2.5 L298N驅(qū)動(dòng)電路設(shè)計(jì)L298是SGS公司的產(chǎn)品,L298N為15個(gè)管角的單塊集成電路,高電壓,高電流,四通道驅(qū)動(dòng),設(shè)計(jì)用L298N來接收DTL或者TTL邏輯電平,驅(qū)動(dòng)感性負(fù)載(比如繼電器,直流和步進(jìn)馬達(dá))和開關(guān)電源晶體管。內(nèi)部包含4通道邏輯驅(qū)動(dòng)電路,其額定工作電流為 1 A,最大可達(dá) 1.5 A,Vss 電壓最小 4.5 V,最大可達(dá) 36 V;Vs 電壓最大值也是 36 V。L298N可直接對電機(jī)進(jìn)行控制,無須隔離電路,可以驅(qū)動(dòng)電機(jī)17。圖9 L298N內(nèi)部電路表1 L298N引腳符號及功能引 腳功 能SENSA、SENSB分別為兩個(gè)H橋的電流反饋腳,不用時(shí)可以直接接地ENA 、ENB使能端,輸入PWM信號IN1、IN2、IN3、IN4輸入端,TTL邏輯電平信號OUT1、OUT2、OUT3、OUT4輸出端,與對應(yīng)輸入端同邏輯VCC邏輯控制電源VSS電機(jī)驅(qū)動(dòng)電源,最小值需比輸入的低電平電壓高GND接地表2 L298N的邏輯功能IN1IN2ENA電機(jī)狀態(tài)XX0停止101順時(shí)針011逆時(shí)針000停止110停止當(dāng)使能端為高電平時(shí),輸入端IN1為PWM信號,IN2為低電平信號時(shí),電機(jī)正轉(zhuǎn);輸入端IN1為低電平信號,IN2為PWM信號時(shí),電機(jī)反轉(zhuǎn);IN1與IN2相 同時(shí),電機(jī)快速停止。當(dāng)使能端為低電平時(shí),電動(dòng)機(jī)停止轉(zhuǎn)動(dòng)。在對直流電動(dòng)機(jī)電壓的控制和驅(qū)動(dòng)中,半導(dǎo)體功率器件(L298)在使用上可以分為兩種方式:線性放大驅(qū)動(dòng)方式和開關(guān)驅(qū)動(dòng)方式在線性放大驅(qū)動(dòng)方式。半導(dǎo)體功率器件工作在線性區(qū)優(yōu)點(diǎn)是控制原理簡單,輸出波動(dòng)小,線性好,對鄰近電路干擾小,缺點(diǎn)為功率器件工作在線性區(qū),功率低和散熱問題嚴(yán)重。開關(guān)驅(qū)動(dòng)方式是使半導(dǎo)體功率器件工作在開關(guān)狀態(tài),通過脈調(diào)制(PWM)來控制電動(dòng)機(jī)的電壓,從而實(shí)現(xiàn)電動(dòng)機(jī)轉(zhuǎn)速的控制18。圖10 L298電機(jī)驅(qū)動(dòng)電路3.2.6 按鍵電路電路設(shè)計(jì)本系統(tǒng)采用三個(gè)按鍵作為參數(shù)設(shè)置輸入方式,K1用于用于速度等級手動(dòng)往上加;K2用于速度等級手動(dòng)往下減;K3用于控制電機(jī)的正傳和反轉(zhuǎn);K4用于速度重置。按鍵電路的工作原理:I/O口默認(rèn)狀態(tài)為高電平,當(dāng)有按鍵按下是會將I/O口電平拉低,及按下為低電平,不按為高電平。單片機(jī)就是通過檢測高低電平變化來實(shí)現(xiàn)控制的。電路圖如下所示。圖11 鍵盤電路原理圖圖12 鍵盤與單片機(jī)連接電路宿州學(xué)院畢業(yè)論文(設(shè)計(jì)) 第四章3系統(tǒng)的軟件設(shè)計(jì)在系統(tǒng)軟件設(shè)計(jì)中,采用的可編程器件是單片機(jī)STC89C52,使用的程序設(shè)計(jì)語言是匯編語言。在余下的篇幅中,將主要討論系統(tǒng)中軟件的匯編語言實(shí)現(xiàn)。在本系統(tǒng)中,軟件的設(shè)計(jì)包括幾個(gè)個(gè)方面:按鍵檢測、數(shù)碼管顯示、電機(jī)驅(qū)動(dòng)子程序。3.1 系統(tǒng)的整體程序流程圖圖13 主程序流程圖4.2 數(shù)碼管LED顯示程序設(shè)計(jì)要讓數(shù)碼管顯示數(shù)字需要軟件的控制,則要求二進(jìn)制代碼來實(shí)現(xiàn)數(shù)碼管發(fā)光段的控制。TAB:DB 0C0H,090H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H數(shù)碼管顯示利用的是動(dòng)態(tài)掃描:動(dòng)態(tài)掃描是利用人眼的視覺暫留原理,只要掃描頻率不小于24Hz,人眼就感覺不到顯示器的閃爍。本系統(tǒng)24Hz的掃描脈沖由相應(yīng)的外圍電路提供。動(dòng)態(tài)掃描電路設(shè)計(jì)的關(guān)鍵在于位選信號要與顯示的數(shù)據(jù)在時(shí)序上一一對應(yīng),因此電路中必須提供同步脈沖信號19。圖14 數(shù)碼管與單片機(jī)連接原理圖圖15 數(shù)碼管與單片機(jī)連接電路圖4.3 電機(jī)控制子程序電機(jī)控制子程序是一個(gè)循環(huán)程序,其主要思路是,先設(shè)定好速度初始值,利用初始值與調(diào)速系統(tǒng)送來的值,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變波形的占空比,進(jìn)而控制電機(jī)的轉(zhuǎn)速。其程序流程圖如圖所示。軟件由1個(gè)主程序、1個(gè)中斷子程序和1個(gè)PI控制算法子程序組成。主程序是一個(gè)循環(huán)程序,其主要思路是由單片機(jī)P1口生數(shù)據(jù)送到PWM信號發(fā)生電路,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變波形的占空比進(jìn)而控制電機(jī)的轉(zhuǎn)速20。圖16 PWM脈寬調(diào)制流程圖 宿州學(xué)院畢業(yè)論文(設(shè)計(jì)) 第五章5系統(tǒng)調(diào)試5.1 常見的故障分析單片機(jī)應(yīng)用系統(tǒng)的硬件調(diào)試和軟件調(diào)試是分不開的許多硬件故障只有通過軟、硬件聯(lián)調(diào)才能發(fā)現(xiàn),但一般是先排除系統(tǒng)中比較明顯的硬件故障后才和軟件一起聯(lián)調(diào)。(1)邏輯錯(cuò)誤 樣機(jī)硬件的邏輯錯(cuò)誤是由于設(shè)計(jì)錯(cuò)誤和加工過程中的工藝錯(cuò)誤而造成的,包括錯(cuò)線、開路、短路、相位錯(cuò)誤、時(shí)序錯(cuò)誤等,其中最常見的是短路故障。 (2)元器件錯(cuò)誤 元器件錯(cuò)誤的原因有器件損壞或性能不符合要求,電解電容、二極管的極性接反或集成塊裝反等。 (3)可靠性差 應(yīng)用系統(tǒng)可靠性差的原因很多,如金屬化孔、接插件接觸不良、內(nèi)部和外部的干擾、電壓紋波系數(shù)過大、器件負(fù)載過重等均會造成系統(tǒng)的可靠性差。另外,走線和布置的不合理也會造成系統(tǒng)可靠性差。 (4)電源故障 電源故障包括:電壓值不符合設(shè)計(jì)要求、電源功率不足、負(fù)載能力差、紋波太重等。5.2 系統(tǒng)調(diào)試注意事項(xiàng)在加電前,先用萬用表等工具,按圖紙仔細(xì)核對樣機(jī)線路是否正確,并對元器件的安裝、型號、規(guī)格等進(jìn)行仔細(xì)檢查,特別注意印制板加工和焊接時(shí)有無走線之間相互短路等。 在程序編寫的過程中,需要認(rèn)真對待每一個(gè)環(huán)節(jié),包括鍵盤掃描處理、PWM信號發(fā)生電路的控制、以及單片機(jī)控制直流電機(jī)的轉(zhuǎn)動(dòng)方向等問題,一點(diǎn)點(diǎn)調(diào)試,最后可以實(shí)現(xiàn)正反轉(zhuǎn)。結(jié)論P(yáng)WM技術(shù)是直流電機(jī)調(diào)速中最為有效的方法。本文在硬件上采用了基于PWM技術(shù)的H型橋式驅(qū)動(dòng)電路,解決了電機(jī)驅(qū)動(dòng)的效率問題,在軟件上也采用較為合理的系統(tǒng)結(jié)構(gòu)及算法,提高了單片機(jī)的使用效率,且具有一定的防飛能力。 本文所述的直流電機(jī)調(diào)速系統(tǒng)是以低價(jià)位的單片微機(jī)STC89C52為核心的,而通過單片機(jī)來實(shí)現(xiàn)電機(jī)調(diào)整又有多種途徑,相對于其他用硬件或者硬件與軟件相結(jié)合的方法實(shí)現(xiàn)對電機(jī)進(jìn)行調(diào)整,采用PWM軟件方法來實(shí)現(xiàn)的調(diào)速過程具有更大的靈活性和更低的成本,它能夠充分發(fā)揮單片機(jī)的效能,對于簡易速度控制系統(tǒng)的實(shí)現(xiàn)提供了一種有效的途徑。宿州學(xué)院本科生畢業(yè)設(shè)計(jì) 參考文獻(xiàn)1唐波,龔雪嬌,朱瑞金,張濤.新工科建設(shè)下的單片機(jī)原理及應(yīng)用課程建設(shè)與改革研究J.黑龍江科學(xué),2019,10(07):20-22.2陳煒煒,詹躍東.基于單片機(jī)的直流電機(jī)PWM調(diào)速系統(tǒng)J.化工自動(dòng)化及儀表,2019,46(03):218-222.3楊林,劉曰濤,沈?qū)毭?仲偉正.無刷直流電機(jī)PI控制系統(tǒng)的設(shè)計(jì)及分析J.西安工程大學(xué)學(xué)報(bào),2019,32(01):81-87.4衡蜓.直流電機(jī)控制系統(tǒng)的設(shè)計(jì)J.農(nóng)業(yè)技術(shù)與裝備,2019(01):7-9.5李玉東,韓亞,馬星河.基于PWM控制的脈沖阻塞式交-交變頻調(diào)速系統(tǒng)研究J.制造業(yè)自動(dòng)化,2018,40(11):63-68.6張駿華.雙PWM變頻調(diào)速系統(tǒng)研究與設(shè)計(jì)J.機(jī)電信息,2018(24):1-3.7孫磊. PWM整流在變頻調(diào)速系統(tǒng)中的應(yīng)用研究D.西安科技大學(xué),2018.8吳一平.基于51單片機(jī)的直流電機(jī)PWM調(diào)速系統(tǒng)J.科技創(chuàng)新導(dǎo)報(bào),2018,15(13):108+110.9樊家明,郭育華.基于雙PWM變換器的變頻調(diào)速系統(tǒng)研究J.電力電子技術(shù),2018,52(03):26-28.10黃意仁,黃嫦娥.基于單片機(jī)的電動(dòng)車電機(jī)調(diào)速系統(tǒng)的設(shè)計(jì)與研究J.產(chǎn)業(yè)與科技論壇,2018,17(05):64-66.11蘇品剛,尚麗.可逆PWM控制雙閉環(huán)直流調(diào)速系統(tǒng)的Simulink仿真J.實(shí)驗(yàn)技術(shù)與管理,2018,35(02):124-129.12杜警,竇艷艷.PWM直流閉環(huán)調(diào)速系統(tǒng)設(shè)計(jì)J.武漢職業(yè)技術(shù)學(xué)院學(xué)報(bào),2018,17(01):92-95.13李瑋.基于單片機(jī)控制的直流電機(jī)PWM調(diào)速系統(tǒng)設(shè)計(jì)J.產(chǎn)業(yè)與科技論壇,2018,17(04):74-75.14李瑾.雙PWM控制的雙饋調(diào)速系統(tǒng)在泵站電機(jī)上的應(yīng)用J.長江科學(xué)院院報(bào),2017,34(10):155-158.15唐海洋. 中小功率直流傳動(dòng)系統(tǒng)的研究D.西安工程大學(xué),2017. 16 Yang. Y., Yi. J., Woo, Y.Y., and Kim. B.: Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique, Microw. J., 2001, 44, (12), pp. 203617 Yamato I , et al 1 New conversion system for UPS using high fre2 quency linkJ 1 IEEE PESC ,1988 :210-320.18 Green A W Boys JTGrates GF 3-Phase Voltage SourcedReversible Rectifier IEEE Proceedings,1988.6(135):36237019 V. Yu. Teplov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier EffectJ ,2002 20 馬忠梅 等編著.單片機(jī)的C語言應(yīng)用程序設(shè)計(jì)(第4版)M,北京航天航空大學(xué)出版社.2007. 4附錄一 硬件原理圖附錄二 程序源代碼#include<math.h> #define uchar unsigned char #define uint unsigned int sbit en1=P10; /* L298的Enable A */ sbit en2=P11; /* L298的Enable B */ sbit s1=P12; /* L298的Input 1 */ sbit s2=P13; /* L298的Input 2 */ sbit s3=P14; /* L298的Input 3 */ sbit s4=P15; /* L298的Input 4 */ uchar t=0; /* 中斷計(jì)數(shù)器 */ uchar m1=0; /* 電機(jī)速度值 */ uchar tmp1,tmp2; /* 電機(jī)當(dāng)前速度值 */ /* 電機(jī)控制函數(shù) index-電機(jī)號(1,2); speed-電機(jī)速度(-100100) */ void motor(uchar index, char speed) if(speed>=-100 && speed<=100) if(index=1) /* 電機(jī)的處理 */ m1=abs(speed); /* 取速度的絕對值 */ if(speed<0) /* 速度值為負(fù)則反轉(zhuǎn) */ s1=0; s2=1; else /* 不為負(fù)數(shù)則正轉(zhuǎn) */ s1=1; s2=0; void delay(uint j) /* 簡易延時(shí)函數(shù) */ for(j;j>0;j-); void main() uchar i; TMOD=0x02; /* 設(shè)定T0的工作模式為2 */ TH0=0x9B; /* 裝入定時(shí)器的初值 */ TL0=0x9B; EA=1; /* 開中斷 */ ET0=1; /* 定時(shí)器0允許中斷 */ TR0=1; /* 啟動(dòng)定時(shí)器0 */ while(1) /* 電機(jī)實(shí)際控制演示 */ for(i=0;i<=100;i+) /* 正轉(zhuǎn)加速 */ motor(1,i); motor(2,i); delay(5000); for(i=100;i>0;i-) /* 正轉(zhuǎn)減速 */ motor(1,i); motor(2,i); delay(5000); for(i=0;i<=100;i+) /* 反轉(zhuǎn)加速 */ motor(1,-i); motor(2,-i); delay(5000); for(i=100;i>0;i-) /* 反轉(zhuǎn)減速 */ motor(1,-i); motor(2,-i); delay(5000); void timer0() interrupt 1 /* T0中斷服務(wù)程序 */ if(t=0) /* 1個(gè)PWM周期完成后才會接受新數(shù)值 */ tmp1=m1; tmp2=m2; if(t<tmp1) en1=1; else en1=0; /* 產(chǎn)生電機(jī)1的PWM信號 */ if(t<tmp2) en2=1; else en2=0; /* 產(chǎn)生電機(jī)2的PWM信號 */ t+; if(t>=100) t=0; /* 1個(gè)PWM信號由100次中斷產(chǎn)生 */ 致 謝這次畢業(yè)設(shè)計(jì),我受到了很多人的幫助,在此我表示由衷的感謝。沒有大家的幫助,我也是不能完成這次畢業(yè)設(shè)計(jì)。 首先,我要特別感謝曹老師對我的悉心指導(dǎo),在畢業(yè)設(shè)計(jì)期間曹老師指導(dǎo)我、幫助我收集文獻(xiàn)資料,理清設(shè)計(jì)思路,完善操作方法,并對我所做的設(shè)計(jì)提出有效的改進(jìn)方案。老師淵博的知識、嚴(yán)謹(jǐn)?shù)淖黠L(fēng)、誨人不倦的態(tài)度和學(xué)術(shù)上精益求精的精神讓我受益終生。作為一個(gè)本科生的畢業(yè)設(shè)計(jì),由于經(jīng)驗(yàn)的匱乏,難免有許多考慮不周全的地方,如果沒有導(dǎo)師的督促指導(dǎo),想要完成這個(gè)設(shè)計(jì)是難以想象的。因此,特別需要感謝曹老師給予的耐心細(xì)致的指導(dǎo),在此,再一次向曹老師以及關(guān)心幫助我的教師同學(xué)表示最誠摯的謝意!其次,學(xué)校在這方面也給我們提供了很大的支持和幫助,學(xué)校領(lǐng)導(dǎo)比較重視,每個(gè)設(shè)計(jì)小組配有專門的指導(dǎo)老師,幫助我們能順利完成整個(gè)設(shè)計(jì)。對于學(xué)校和老師為我的畢業(yè)設(shè)計(jì)所提供的極大幫助和關(guān)心,在此我致以衷心的感謝!最后,還要感謝同學(xué)四年來對我的關(guān)心與支持,感謝各位老師在學(xué)習(xí)期間對我的嚴(yán)格要求。同時(shí)也要感謝身邊朋友的熱心幫助,沒有你們的關(guān)心與支持,我不可能順利的完成我的畢業(yè)設(shè)計(jì)!這幾個(gè)月的歲月是我學(xué)生生涯中最有價(jià)值的一段時(shí)光,也將會成為我以后永遠(yuǎn)的美好的回憶,在這里有治學(xué)嚴(yán)謹(jǐn)而不失親切的老師,也有互相幫助情同骨肉的同學(xué),更有和諧、融洽的學(xué)習(xí)生活氛圍,這里將是我永遠(yuǎn)向往的地方。借此論文之際,我想向所有人表達(dá)我的最誠摯的謝意,愿我們將來都越來越好。25

注意事項(xiàng)

本文(STC89C52單片機(jī)直流電機(jī)的設(shè)計(jì)電氣工程專業(yè))為本站會員(文***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!