STC89C52單片機直流電機的設計電氣工程專業(yè)

上傳人:文*** 文檔編號:46325234 上傳時間:2021-12-12 格式:DOC 頁數(shù):27 大小:891.28KB
收藏 版權申訴 舉報 下載
STC89C52單片機直流電機的設計電氣工程專業(yè)_第1頁
第1頁 / 共27頁
STC89C52單片機直流電機的設計電氣工程專業(yè)_第2頁
第2頁 / 共27頁
STC89C52單片機直流電機的設計電氣工程專業(yè)_第3頁
第3頁 / 共27頁

下載文檔到電腦,查找使用更方便

20 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《STC89C52單片機直流電機的設計電氣工程專業(yè)》由會員分享,可在線閱讀,更多相關《STC89C52單片機直流電機的設計電氣工程專業(yè)(27頁珍藏版)》請在裝配圖網(wǎng)上搜索。

1、摘 要近年來,隨著科技的進步,直流電機得到了越來越廣泛的應用,直流電機具有優(yōu)良的調速特性:調速平滑,方便,調速范圍廣,過載能力強,能承受頻繁的沖擊負載,可實現(xiàn)頻繁的無極快速起動、制動和反轉,滿足生產過程自動化系統(tǒng)各種不同的特殊要求。本系統(tǒng)以STC89C52單片機為核心,通過內部定時器產生PWM波形,控制LN298大功率H橋路驅動直流電機已達到控制轉速的目的。通過數(shù)碼管將速度等級顯示出來,此外分別從主控制芯片的選擇、顯示電路的比較與選擇、 電機調速控制模塊、電源電路與電機驅動電路四個方面進一步確定系統(tǒng)的總體設計方案,最后通過數(shù)碼管LED顯示程序設計以及電機控制子程序完成實驗步驟,并在結論部分對系

2、統(tǒng)調試過程中的常見的故障分析和注意事項做出了摘要說明,具有一定的理論和實際意義。關鍵詞:STC89C52; 雙向可逆控制系統(tǒng); PWM調速; 目錄緒論11系統(tǒng)總體方案31.1 主控制芯片的選擇31.2 顯示電路的比較與選擇41.3 電機調速控制模塊41.4 電源電路與電機驅動電路42系統(tǒng)硬件方案設計52.1 系統(tǒng)總電路框圖設計53.2 系統(tǒng)模塊電路設計及原理53.2.1單片機最小系統(tǒng)設計53.2.2直流電機的調速設計83.2.3 測速發(fā)電機93.2.4 數(shù)碼管顯示電路設計93.2.5 L298N驅動電路設計113.2.6 按鍵電路電路設計133系統(tǒng)的軟件設計153.1 系統(tǒng)的整體程序流程圖15

3、4.2 數(shù)碼管LED顯示程序設計154.3 電機控制子程序165系統(tǒng)調試185.1 常見的故障分析185.2 系統(tǒng)調試注意事項18結論18參考文獻19附錄一 硬件原理圖21附錄二 程序源代碼22致 謝241宿州學院本科生畢業(yè)設計 緒論(1)課題研究的背景近年來,隨著科技的進步,直流電機得到了越來越廣泛的應用,直流電機具有優(yōu)良的調速特性:調速平滑,方便,調速范圍廣,過載能力強,能承受頻繁的沖擊負載,可實現(xiàn)頻繁的無極快速起動、制動和反轉,滿足生產過程自動化系統(tǒng)各種不同的特殊要求?,F(xiàn)代化的生產過程對直流電機的調速提出了更高的要求,改變電樞回路電阻調速、改變電壓調速等技術已遠遠不能滿足現(xiàn)代科技的要求,

4、這時通過PWM方式控制直流電機轉速的方法應運而生。采取傳統(tǒng)的調速系統(tǒng)主要有以下的缺陷:模擬電路容易隨時間推移,會產生一些不必要的熱損耗,以及對噪聲敏感等。而用PWM技術后,避免上述的缺點,實現(xiàn)了數(shù)字式控制模擬信號,可以大幅度減低成本和功耗。并且PWM調速系統(tǒng)開關頻率較高,僅靠電樞電感的濾波作用就可以獲得平滑的直流電流,低速特性好;同時,開關頻率高,快響應特性好,動態(tài)抗干擾能力強,可獲很寬的頻帶;開關元件只需工作在開關狀態(tài),主電路損耗小,裝置的效率高,具有節(jié)約空間、經(jīng)濟好等特點?,F(xiàn)代工業(yè)生產中,電動機是主要的驅動設備,目前在直流電動機拖動系統(tǒng)中已大量采用晶閘管(即可控硅)裝置向電動機供電的KZD

5、拖動系統(tǒng),取代了笨重的發(fā)電動一電動機的FD系統(tǒng),又伴隨著電子技術的高度發(fā)展,促使直流電機調速逐步從模擬化向數(shù)字化轉變,特別是單片機技術的應用,使直流電機調速技術又進入到一個新的階段,智能化、高可靠性已成為它發(fā)展的趨勢。直流電機調速基本原理是比較簡單的(相對于交流電機),只要改變電機的電壓就可以改變轉速了。改變電壓的方法很多,最常見的一種PWM脈寬調制,調節(jié)電機的輸入占空比就可以控制電機的平均電壓,控制轉速。PWM控制的基本原理很早就已經(jīng)提出,但是受電力電子器件發(fā)展水平的制約,在上世紀80年代以前一直未能實現(xiàn)。直到進入上世紀80年代,隨著全控型電力電子器件的出現(xiàn)和迅速發(fā)展,PWM控制技術才真正得

6、到應用。隨著電力電子技術、微電子技術和自動控制技術的發(fā)展以及各種新的理論方法,如現(xiàn)代控制理論、非線性系統(tǒng)控制思想的應用,PWM控制技術獲得了空前的發(fā)展,到目前為止,已經(jīng)出現(xiàn)了多種PWM控制技術。(2) 國內外技術發(fā)展的現(xiàn)狀直流電動機具有良好的起動、制動性能,宜于在大范圍內平滑調速,在許多需要調速或快速正反向的電力拖動領域中得到了廣泛的應用。從控制的角度來看,直流調速還是交流拖動系統(tǒng)的基礎。早期直流電動機的控制均以模擬電路為基礎,采用運算放大器、非線性集成電路以及少量的數(shù)字電路組成,控制系統(tǒng)的硬件部分非常復雜,功能單一,而且系統(tǒng)非常不靈活、調試困難,阻礙了直流電動機控制技術的發(fā)展和應用范圍的推廣

7、。隨著單片機技術的日新月異,使得許多控制功能及算法可以采用軟件技術來完成,為直流電動機的控制提供了更大的靈活性,并使系統(tǒng)能達到更高的性能。采用單片機構成控制系統(tǒng),可以節(jié)約人力資源和降低系統(tǒng)成本,從而有效的提高工作效率。(3)本設計的任務本系統(tǒng)已STC89C52單片機為核心,通過內部定時器產生PWM波形,將其在數(shù)碼管將速度等級呈現(xiàn)出來,本設計的主要任務主要包括: (1)直流電機的正轉控制;(2)直流電機的反轉控制;(3)直流電機的加速控制;(4)直流電機的減速控制;(5)數(shù)碼管顯示電路設計與實現(xiàn);(6)單片機最小系統(tǒng)設計;(7)電機驅動電路設計191系統(tǒng)總體方案系統(tǒng)總體設計方案的步驟為先選擇主控

8、制芯片,然后通過顯示電路的比較與選擇、電機調速控制模塊、電源電路與電機驅動電路三個方面逐步確定系統(tǒng)的軟硬件指標,最終將設計分為硬件和軟件兩個部分分別詳細概括,本文的設計原理是主要以單片機為核心,通過內部定時器產生PWM波形,控制LN298大功率H橋路驅動直流電機控制轉速,并且將結果通過數(shù)碼管將速度等級顯示出來作為具體分析概述。系統(tǒng)總體方案如下所示:1.1 主控制芯片的選擇本次設計采用STC12C5A60S2單片機作為控制元器件,該系列單片機的始祖是Intel的8031單片機,后來隨著Flash rom技術的發(fā)展,8031單片機取得了長足的進展,成為目前應用最廣泛的8位單片機之一,其代表型號是A

9、TMEL公司的AT89系列,它廣泛應用于工業(yè)測控系統(tǒng)之中。目前很多公司都有51系列的兼容機型推出,在目前乃至今后很長的一段時間內將占有大量市場。51單片機是基礎入門的一個單片機,還是應用最廣泛的一種。需要注意的是52系列的單片機一般不具備自編程能力。51成本低廉,使用方便,適合與本系統(tǒng)的檢測與控制。1.2 顯示電路的比較與選擇數(shù)碼管顯示具有亮度高、顯示簡單簡潔、成本低廉,可靠性高、響應速度快等有點。在實際工程中,人即便站在很遠的位置也能看清楚數(shù)碼管顯示的信息。而且數(shù)碼管驅動簡單,采用硬件驅動即不需要程序控制,完全不占用CPU的資源。因此我們這里采用LED數(shù)碼管顯示信息 1.3 電機調速控制模塊

10、采用由三極管組成的H型PWM電路。用單片機控制三極管使之工作在占空比可調的開關狀態(tài),精確調整電動機轉速。這種電路由于工作在管子的飽和截止模式下,效率非常高;H型電路保證了可以簡單地實現(xiàn)轉速和方向的控制;電子開關的速度很快,穩(wěn)定性也極佳,是一種廣泛采用的PWM調速技術7。1.4 電源電路與電機驅動電路本設計電源選擇直流穩(wěn)壓電源模塊。將插線板電源經(jīng)過變壓、整流、濾波、穩(wěn)壓后輸出。為系統(tǒng)提供穩(wěn)定可靠的電源。雖說會有一些不穩(wěn)定因素但攜帶方便,所以選擇鋰電池來負責電源。電機驅動我采用大功率電機驅動專用芯片。L298內部繼承大功率H橋路。具有穩(wěn)定性高、使用簡單,驅動力大等特點。能夠驅動大功率電機和控制電機

11、的正傳和反轉,同時對于電機調速也是非常理想的選擇。因此采用此種方法。宿州學院本科生畢業(yè)設計 2系統(tǒng)硬件方案設計2.1 系統(tǒng)總電路框圖設計 本系統(tǒng)以STC89C52單片機為核心,通過內部定時器產生PWM波形,控制LN298大功率H橋路驅動直流電機已達到控制轉速的目的。通過數(shù)碼管將速度等級顯示出來,此外可以通過按鍵控制電機的正傳反轉、以及電機速度加和減。圖1 系統(tǒng)總體框圖3.2 系統(tǒng)模塊電路設計及原理3.2.1單片機最小系統(tǒng)設計單片機最小系統(tǒng)是整個系統(tǒng)的核心控制部分,以STC89C52單片機為核心,配以外圍電路:時鐘電路、上點復位電路構成。主要完成數(shù)據(jù)的采集處理和轉換。MCS-51系列單片機是美國

12、Intel公司在1980年繼MCS-48系列8位單片機之后推出的高檔8位單片機,此單片機憑其穩(wěn)定的性能、高性價比以及良好的兼容,在各個領域得到了最為廣泛的應用,也是我國目前應用最廣的單片機系列。在性能和功能方面,MCS-51單片機大大優(yōu)于MCS-48單片機。MCS-51系列有多種機型可供用戶選擇8。(1)單片機內部結構MCS-51系列單片機最早的典型代表為8051,87581,8031,由于其型號和生產廠商的不同,在片內存儲器容量、中斷系統(tǒng)、外圍功能模塊、最高時鐘頻率以及處理器速度等方面有很大的不同,但它們的指令系統(tǒng)完全兼容,硬件系統(tǒng)的基本結構也相同,其主要的性能特點如下1) 8位CPU.2)

13、 片內128B RAM(MCS-52子系列有256B RAM) 。3) 片內4KB ROM/EPROM(8051/8751).4) 特殊功能寄存器區(qū)。5) 兩個優(yōu)先級的5個中斷源結構。6) 4個8位并行I/O口(P0,P1,P2,P3)。7) 兩個16位定時/計數(shù)器(MCS-52子系列有3個).8) 全雙工串行口。9) 布爾處理器。MCS-51的典型產品有8031,8051,8751.8051內部有4KB ROM,8071內部有4KB EPROM,8031片內無ROM;除此之外,三者的內部結構及引腳完全相同。在單片機芯片的內部,其基本結構的構成是通用CPU加上外圍芯片的模式,內部主要由9個部件

14、通過單一總線連接而成。這9個主要部件是:1個8位的中央處理器(包括ALU,ACC,TMP1,TMP2,B寄存器,PSW及相應的定時和控制邏輯),4KB/8KB程序寄存器(ROM/EPROM),128B/256B的數(shù)據(jù)寄存器(RAM),32條I/O接口線(圖中P0.0P0.7,P1.0P1.7,P2.0P2.7,P3.0P3.7),中斷控制邏輯(具有5個中斷源,2個中斷優(yōu)先級),定時器控制邏輯(具有2個可編程定時器/計數(shù)器),串行接口控制邏輯(具有可工作于多處理機通信,I/O接口擴展或全雙工通用異步接收發(fā)送器的串行接口),21個專用寄存器(包括程序計數(shù)器PC、堆棧指針寄存器SP、程序狀態(tài)字存器P

15、SW、數(shù)據(jù)指針寄存器DPTR等)以及片內振蕩器和時鐘電路(由OSC及相關電路組成)9。(2)復位電路邏輯圖RST引腳是復位信號的輸入端。復位信號是高電平有效,其有效時間應持續(xù)24個振蕩周期(即兩個機器周期)以上。若使用頻率位6MHz的晶振,則復位信號持續(xù)時間應超過4us才能完成復位操作。整個復位電路包括芯片內、外兩部分。外部電路產生的復位信號(RST)送至施密特觸發(fā)器,再由片內復位電路在每一個機器周期的S5P2時刻對觸發(fā)器的輸出進行采樣,然后才得到內部復位操作所需要的信號。復位操作有上電復位和按鍵手動復位兩種方式。我們采用按鍵手動復位的電平方式,如圖2。通過使復位端經(jīng)電阻與Vcc電源接通而實現(xiàn)

16、。圖2 按鍵手動復位原理圖 復位是單片機的初始化操作,其主要功能是把PC初始化為0000H,使單片機從0000H單元開始執(zhí)行程序。除此之外,當由于程序運行出錯或操作錯誤使系統(tǒng)處于死鎖狀態(tài)時,也需要按復位鍵重新啟動。AT89S52是第9腳復位,當有鍵按下去時,復位端成高電平,單片機復位10。(3)振蕩電路晶體振蕩電路屬于反饋振蕩器。從能量的觀點來看,一般的功率放大器是在是在輸入信號的控制下,把直流電源提供的直流能量轉換為按信號規(guī)律變化的交變能量的電路。而反饋振蕩器是不需要輸入信號的控制就能自動地將直流能量轉換為特定頻率和振幅的交變能量的電路。AT89S52芯片內部都有一個高增益反相放大器用于構成

17、振蕩器晶體振蕩電路其屬于反饋振蕩器。振蕩又分外部振蕩和內部振蕩11,如下圖所示:圖3 晶振時鐘原理圖設計中根據(jù)實際情況選擇了內部振蕩這種方式對單片機進行驅動。反相放大器的輸入端為XATAL1,輸出端為XATAL2。分別是89S52的19腳和18腳,在XATAL1和XATAL2兩端跨接石英晶體及兩個電容,就構成了穩(wěn)定的自激振蕩器。電容一般都取33P,單片機接11.0592MHz的晶振。振蕩器產生的信號送到CPU,作為CPU的時鐘信號,驅動CPU產生執(zhí)行指令功能的機器周期。3.2.2直流電機的調速設計根據(jù)直流電機的基本原理,由感應電勢、電磁轉矩以及機械特性方程式可知,直流電動機的調速方法有三種:

18、(1)調節(jié)電樞供電電壓U。改變電樞電壓主要是從額定電壓往下降低電樞電壓,從電動機額定轉速向下變速,屬恒轉矩調速方法。對于要求在一定范圍內無級平滑調速的系統(tǒng)來說,這種方法最好。Ia變化遇到的時間常數(shù)較小,能快速響應,但是需要大容量可調直流電源。 (2)改變電動機主磁通。改變磁通可以實現(xiàn)無級平滑調速,但只能減弱磁通進行調速(簡稱弱磁調速),從電機額定轉速向上調速,屬恒功率調速方法。If變化時間遇到的時間常數(shù)同Ia變化遇到的相比要大得多,響應速度較慢,但所需電源容量小。 (3)改變電樞回路電阻R。在電動機電樞回路外串電阻進行調速的方法,設備簡單,操作方便。但是只能進行有級調速,調速平滑性差,機械特性

19、較軟;空載時幾乎沒什么調速作用;還會在調速電阻上消耗大量電能。 直流斬波器又稱直流調壓器,是利用開關器件來實現(xiàn)通斷控制,將直流電源電壓斷續(xù)加到負載上,通過通、斷時間的變化來改變負載上的直流電壓平均值,將固定電壓的直流電源變成平均值可調的直流電源,亦稱直流直流變換器。它具有效率高、體積小、重量輕、成本低等優(yōu)點,現(xiàn)廣泛應用于地鐵、電力機車、城市無軌電車以及電瓶搬運車等電力牽引設備的變速拖動中。 圖5為直流斬波器的原理電路和輸出電壓波型,圖中VT代表開關器件。當開關VT接通時,電源電壓U。加到電動機上;當VT斷開時,直流電源與電動機斷開,電動機電樞端電壓為零。如此反復,得電樞端電壓波形如圖5(b)所

20、示。圖5 直流斬波器原理電路及輸出電壓波型 (a)原理圖(b)電壓波型采用晶閘管的直流斬波器基本原理與整流電路不同的是,在這里晶閘管不受相位控制,而是工作在開關狀態(tài)。當晶閘管被觸發(fā)導通時,電源電壓加到電動機上,當晶閘管關斷時,直流電源與電動機斷開,電動機經(jīng)二極管續(xù)流,兩端電壓接近于零。脈沖寬度調制(Pulse Width Modulation),簡稱PWM。脈沖周期不變,只改變晶閘管的導通時間,即通過改變脈沖寬度來進行直流調速。與V-M系統(tǒng)相比,PWM調速系統(tǒng)有下列優(yōu)點:(1)由于PWM調速系統(tǒng)的開關頻率較高,僅靠電樞電感的濾波作用就可以獲得脈動很小的直流電流,電樞電流容易連續(xù),系統(tǒng)的低速運行

21、平穩(wěn),調速范圍較寬,可達1:10000左右。由于電流波形比V-M系統(tǒng)好,在相同的平均電流下,電動機的損耗和發(fā)熱都比較小。(2)同樣由于開關頻率高,若與快速響應的電機相配合,系統(tǒng)可以獲得很寬的頻帶,因此快速響應性能好,動態(tài)抗擾能力強。(3)由于電力電子器件只工作在開關狀態(tài),主電路損耗較小,裝置效率較高。脈寬調速系統(tǒng)的主電路采用脈寬調制式變換器,簡稱PWM變換器。脈寬調速也可通過單片機控制繼電器的閉合來實現(xiàn),但是驅動能力有限。目前,受到器件容量的限制,PWM直流調速系統(tǒng)只用于中、小功率的系統(tǒng)143.2.3 測速發(fā)電機當被測機構與測速發(fā)電機同軸連接時,只要檢測出輸出電動勢,即可以獲得被測機構的轉速,

22、所以測速發(fā)電機又稱速度傳感器。測速發(fā)電機廣泛應用于各種速度或者位置控制系統(tǒng),在自動控制系統(tǒng)中作為檢測速度的元件,以調節(jié)電動機轉速或者通過反饋來提高系統(tǒng)穩(wěn)定性和精度。3.2.4 數(shù)碼管顯示電路設計(1)共陰數(shù)碼管管腳說明與原理圖七段LED顯示器內部由七個條形發(fā)光二極管和一個小圓點發(fā)光二極管組成,根據(jù)各管的極管的接線形式,可分成共陰極型和共陽極型。 實訓室實訓扳上使用的是四位一體的共陰數(shù)碼管15。LED數(shù)碼管的封裝如圖6所示。圖6 數(shù)碼管的封裝形式及內部結構(2)數(shù)碼管碼值的推算LED數(shù)碼管的ADP七個發(fā)光二極管因以不同亮滅的組合就能形成不同的字形,這種組合稱之為字形碼,下面列出共陰極的字形碼,“

23、數(shù)字”是要數(shù)碼管要顯示的數(shù)字,“字形碼”是單片機P0口要輸出的十六進制數(shù)據(jù)。例如數(shù)字“0”和數(shù)字“7”的字形碼推算方法如圖7所示: 圖7 數(shù)碼管碼值的推算方法同理,按照上述的推倒方法和原則我們得出數(shù)字09的編碼。(3)數(shù)字的顯示規(guī)律查表法由于顯示的數(shù)字09的字形碼沒有規(guī)律可循,只能采用查表的方式來完成我們所需的要求了。這樣我們按著數(shù)字09的順序,把每個數(shù)字的筆段代碼按順序排好,建立的表格如下所示:TABLE DB=3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,當我們要顯示一個數(shù)字,比如4的時候,我們就可以查表TABLE DB4找到66H,送P0口就可以了16。

24、(4)數(shù)碼管顯示電路圖 圖8 數(shù)碼管顯示電路設計中,用到了四位七段共陰數(shù)碼管。LED是一類可直接將電能轉化為可見光和輻射能的發(fā)光器件,具有工作電壓低,耗電量小,發(fā)光效率高,發(fā)光響應時間極短,光色純,結構牢固,抗沖擊,耐振動,性能穩(wěn)定可靠,重量輕,體積小,成本低等一系列特性。數(shù)碼管的顯示電路連接分為串行接法和并行接法,使用串行接法可以節(jié)約I/O口資源,并行接法會占用過多的I/O口,由于考慮到操作的熟練與實際的資源,本設計采用了后者并行接法。3.2.5 L298N驅動電路設計L298是SGS公司的產品,L298N為15個管角的單塊集成電路,高電壓,高電流,四通道驅動,設計用L298N來接收DTL或

25、者TTL邏輯電平,驅動感性負載(比如繼電器,直流和步進馬達)和開關電源晶體管。內部包含4通道邏輯驅動電路,其額定工作電流為 1 A,最大可達 1.5 A,Vss 電壓最小 4.5 V,最大可達 36 V;Vs 電壓最大值也是 36 V。L298N可直接對電機進行控制,無須隔離電路,可以驅動電機17。圖9 L298N內部電路表1 L298N引腳符號及功能引 腳功 能SENSA、SENSB分別為兩個H橋的電流反饋腳,不用時可以直接接地ENA 、ENB使能端,輸入PWM信號IN1、IN2、IN3、IN4輸入端,TTL邏輯電平信號OUT1、OUT2、OUT3、OUT4輸出端,與對應輸入端同邏輯VCC邏

26、輯控制電源VSS電機驅動電源,最小值需比輸入的低電平電壓高GND接地表2 L298N的邏輯功能IN1IN2ENA電機狀態(tài)XX0停止101順時針011逆時針000停止110停止當使能端為高電平時,輸入端IN1為PWM信號,IN2為低電平信號時,電機正轉;輸入端IN1為低電平信號,IN2為PWM信號時,電機反轉;IN1與IN2相 同時,電機快速停止。當使能端為低電平時,電動機停止轉動。在對直流電動機電壓的控制和驅動中,半導體功率器件(L298)在使用上可以分為兩種方式:線性放大驅動方式和開關驅動方式在線性放大驅動方式。半導體功率器件工作在線性區(qū)優(yōu)點是控制原理簡單,輸出波動小,線性好,對鄰近電路干擾

27、小,缺點為功率器件工作在線性區(qū),功率低和散熱問題嚴重。開關驅動方式是使半導體功率器件工作在開關狀態(tài),通過脈調制(PWM)來控制電動機的電壓,從而實現(xiàn)電動機轉速的控制18。圖10 L298電機驅動電路3.2.6 按鍵電路電路設計本系統(tǒng)采用三個按鍵作為參數(shù)設置輸入方式,K1用于用于速度等級手動往上加;K2用于速度等級手動往下減;K3用于控制電機的正傳和反轉;K4用于速度重置。按鍵電路的工作原理:I/O口默認狀態(tài)為高電平,當有按鍵按下是會將I/O口電平拉低,及按下為低電平,不按為高電平。單片機就是通過檢測高低電平變化來實現(xiàn)控制的。電路圖如下所示。圖11 鍵盤電路原理圖圖12 鍵盤與單片機連接電路宿州

28、學院畢業(yè)論文(設計) 第四章3系統(tǒng)的軟件設計在系統(tǒng)軟件設計中,采用的可編程器件是單片機STC89C52,使用的程序設計語言是匯編語言。在余下的篇幅中,將主要討論系統(tǒng)中軟件的匯編語言實現(xiàn)。在本系統(tǒng)中,軟件的設計包括幾個個方面:按鍵檢測、數(shù)碼管顯示、電機驅動子程序。3.1 系統(tǒng)的整體程序流程圖圖13 主程序流程圖4.2 數(shù)碼管LED顯示程序設計要讓數(shù)碼管顯示數(shù)字需要軟件的控制,則要求二進制代碼來實現(xiàn)數(shù)碼管發(fā)光段的控制。TAB:DB 0C0H,090H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H數(shù)碼管顯示利用的是動態(tài)掃描:動態(tài)掃描是利用人眼的視覺暫留原理,只要掃描頻率不小于

29、24Hz,人眼就感覺不到顯示器的閃爍。本系統(tǒng)24Hz的掃描脈沖由相應的外圍電路提供。動態(tài)掃描電路設計的關鍵在于位選信號要與顯示的數(shù)據(jù)在時序上一一對應,因此電路中必須提供同步脈沖信號19。圖14 數(shù)碼管與單片機連接原理圖圖15 數(shù)碼管與單片機連接電路圖4.3 電機控制子程序電機控制子程序是一個循環(huán)程序,其主要思路是,先設定好速度初始值,利用初始值與調速系統(tǒng)送來的值,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變波形的占空比,進而控制電機的轉速。其程序流程圖如圖所示。軟件由1個主程序、1個中斷子程序和1個PI控制算法子程序組成。主程序是一個循環(huán)程序,其主要思路是由單片機P1口生數(shù)據(jù)送到PWM信號發(fā)

30、生電路,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變波形的占空比進而控制電機的轉速20。圖16 PWM脈寬調制流程圖 宿州學院畢業(yè)論文(設計) 第五章5系統(tǒng)調試5.1 常見的故障分析單片機應用系統(tǒng)的硬件調試和軟件調試是分不開的許多硬件故障只有通過軟、硬件聯(lián)調才能發(fā)現(xiàn),但一般是先排除系統(tǒng)中比較明顯的硬件故障后才和軟件一起聯(lián)調。(1)邏輯錯誤 樣機硬件的邏輯錯誤是由于設計錯誤和加工過程中的工藝錯誤而造成的,包括錯線、開路、短路、相位錯誤、時序錯誤等,其中最常見的是短路故障。 (2)元器件錯誤 元器件錯誤的原因有器件損壞或性能不符合要求,電解電容、二極管的極性接反或集成塊裝反等。 (3)可靠性差

31、應用系統(tǒng)可靠性差的原因很多,如金屬化孔、接插件接觸不良、內部和外部的干擾、電壓紋波系數(shù)過大、器件負載過重等均會造成系統(tǒng)的可靠性差。另外,走線和布置的不合理也會造成系統(tǒng)可靠性差。 (4)電源故障 電源故障包括:電壓值不符合設計要求、電源功率不足、負載能力差、紋波太重等。5.2 系統(tǒng)調試注意事項在加電前,先用萬用表等工具,按圖紙仔細核對樣機線路是否正確,并對元器件的安裝、型號、規(guī)格等進行仔細檢查,特別注意印制板加工和焊接時有無走線之間相互短路等。 在程序編寫的過程中,需要認真對待每一個環(huán)節(jié),包括鍵盤掃描處理、PWM信號發(fā)生電路的控制、以及單片機控制直流電機的轉動方向等問題,一點點調試,最后可以實現(xiàn)

32、正反轉。結論PWM技術是直流電機調速中最為有效的方法。本文在硬件上采用了基于PWM技術的H型橋式驅動電路,解決了電機驅動的效率問題,在軟件上也采用較為合理的系統(tǒng)結構及算法,提高了單片機的使用效率,且具有一定的防飛能力。 本文所述的直流電機調速系統(tǒng)是以低價位的單片微機STC89C52為核心的,而通過單片機來實現(xiàn)電機調整又有多種途徑,相對于其他用硬件或者硬件與軟件相結合的方法實現(xiàn)對電機進行調整,采用PWM軟件方法來實現(xiàn)的調速過程具有更大的靈活性和更低的成本,它能夠充分發(fā)揮單片機的效能,對于簡易速度控制系統(tǒng)的實現(xiàn)提供了一種有效的途徑。宿州學院本科生畢業(yè)設計 參考文獻1唐波,龔雪嬌,朱瑞金,張濤.新工

33、科建設下的單片機原理及應用課程建設與改革研究J.黑龍江科學,2019,10(07):20-22.2陳煒煒,詹躍東.基于單片機的直流電機PWM調速系統(tǒng)J.化工自動化及儀表,2019,46(03):218-222.3楊林,劉曰濤,沈寶民,仲偉正.無刷直流電機PI控制系統(tǒng)的設計及分析J.西安工程大學學報,2019,32(01):81-87.4衡蜓.直流電機控制系統(tǒng)的設計J.農業(yè)技術與裝備,2019(01):7-9.5李玉東,韓亞,馬星河.基于PWM控制的脈沖阻塞式交-交變頻調速系統(tǒng)研究J.制造業(yè)自動化,2018,40(11):63-68.6張駿華.雙PWM變頻調速系統(tǒng)研究與設計J.機電信息,2018

34、(24):1-3.7孫磊. PWM整流在變頻調速系統(tǒng)中的應用研究D.西安科技大學,2018.8吳一平.基于51單片機的直流電機PWM調速系統(tǒng)J.科技創(chuàng)新導報,2018,15(13):108+110.9樊家明,郭育華.基于雙PWM變換器的變頻調速系統(tǒng)研究J.電力電子技術,2018,52(03):26-28.10黃意仁,黃嫦娥.基于單片機的電動車電機調速系統(tǒng)的設計與研究J.產業(yè)與科技論壇,2018,17(05):64-66.11蘇品剛,尚麗.可逆PWM控制雙閉環(huán)直流調速系統(tǒng)的Simulink仿真J.實驗技術與管理,2018,35(02):124-129.12杜警,竇艷艷.PWM直流閉環(huán)調速系統(tǒng)設計

35、J.武漢職業(yè)技術學院學報,2018,17(01):92-95.13李瑋.基于單片機控制的直流電機PWM調速系統(tǒng)設計J.產業(yè)與科技論壇,2018,17(04):74-75.14李瑾.雙PWM控制的雙饋調速系統(tǒng)在泵站電機上的應用J.長江科學院院報,2017,34(10):155-158.15唐海洋. 中小功率直流傳動系統(tǒng)的研究D.西安工程大學,2017. 16 Yang. Y., Yi. J., Woo, Y.Y., and Kim. B.: Optimum design for linearity and efficiency of microwave Doherty amplifier usi

36、ng a new load matching technique, Microw. J., 2001, 44, (12), pp. 203617 Yamato I , et al 1 New conversion system for UPS using high fre2 quency linkJ 1 IEEE PESC ,1988 :210-320.18 Green A W Boys JTGrates GF 3-Phase Voltage SourcedReversible Rectifier IEEE Proceedings,1988.6(135):36237019 V. Yu. Tep

37、lov,A. V. Anisimov. Thermostatting System Using a Single-Chip Microcomputer and Thermoelectric Modules Based on the Peltier EffectJ ,2002 20 馬忠梅 等編著.單片機的C語言應用程序設計(第4版)M,北京航天航空大學出版社.2007. 4附錄一 硬件原理圖附錄二 程序源代碼#include<math.h> #define uchar unsigned char #define uint unsigned int sbit en1=P10; /*

38、L298的Enable A */ sbit en2=P11; /* L298的Enable B */ sbit s1=P12; /* L298的Input 1 */ sbit s2=P13; /* L298的Input 2 */ sbit s3=P14; /* L298的Input 3 */ sbit s4=P15; /* L298的Input 4 */ uchar t=0; /* 中斷計數(shù)器 */ uchar m1=0; /* 電機速度值 */ uchar tmp1,tmp2; /* 電機當前速度值 */ /* 電機控制函數(shù) index-電機號(1,2); speed-電機速度(-10010

39、0) */ void motor(uchar index, char speed) if(speed>=-100 && speed<=100) if(index=1) /* 電機的處理 */ m1=abs(speed); /* 取速度的絕對值 */ if(speed<0) /* 速度值為負則反轉 */ s1=0; s2=1; else /* 不為負數(shù)則正轉 */ s1=1; s2=0; void delay(uint j) /* 簡易延時函數(shù) */ for(j;j>0;j-); void main() uchar i; TMOD=0x02; /* 設定T

40、0的工作模式為2 */ TH0=0x9B; /* 裝入定時器的初值 */ TL0=0x9B; EA=1; /* 開中斷 */ ET0=1; /* 定時器0允許中斷 */ TR0=1; /* 啟動定時器0 */ while(1) /* 電機實際控制演示 */ for(i=0;i<=100;i+) /* 正轉加速 */ motor(1,i); motor(2,i); delay(5000); for(i=100;i>0;i-) /* 正轉減速 */ motor(1,i); motor(2,i); delay(5000); for(i=0;i<=100;i+) /* 反轉加速 */

41、 motor(1,-i); motor(2,-i); delay(5000); for(i=100;i>0;i-) /* 反轉減速 */ motor(1,-i); motor(2,-i); delay(5000); void timer0() interrupt 1 /* T0中斷服務程序 */ if(t=0) /* 1個PWM周期完成后才會接受新數(shù)值 */ tmp1=m1; tmp2=m2; if(t<tmp1) en1=1; else en1=0; /* 產生電機1的PWM信號 */ if(t<tmp2) en2=1; else en2=0; /* 產生電機2的PWM信號

42、 */ t+; if(t>=100) t=0; /* 1個PWM信號由100次中斷產生 */ 致 謝這次畢業(yè)設計,我受到了很多人的幫助,在此我表示由衷的感謝。沒有大家的幫助,我也是不能完成這次畢業(yè)設計。 首先,我要特別感謝曹老師對我的悉心指導,在畢業(yè)設計期間曹老師指導我、幫助我收集文獻資料,理清設計思路,完善操作方法,并對我所做的設計提出有效的改進方案。老師淵博的知識、嚴謹?shù)淖黠L、誨人不倦的態(tài)度和學術上精益求精的精神讓我受益終生。作為一個本科生的畢業(yè)設計,由于經(jīng)驗的匱乏,難免有許多考慮不周全的地方,如果沒有導師的督促指導,想要完成這個設計是難以想象的。因此,特別需要感謝曹老師給予的耐心細

43、致的指導,在此,再一次向曹老師以及關心幫助我的教師同學表示最誠摯的謝意!其次,學校在這方面也給我們提供了很大的支持和幫助,學校領導比較重視,每個設計小組配有專門的指導老師,幫助我們能順利完成整個設計。對于學校和老師為我的畢業(yè)設計所提供的極大幫助和關心,在此我致以衷心的感謝!最后,還要感謝同學四年來對我的關心與支持,感謝各位老師在學習期間對我的嚴格要求。同時也要感謝身邊朋友的熱心幫助,沒有你們的關心與支持,我不可能順利的完成我的畢業(yè)設計!這幾個月的歲月是我學生生涯中最有價值的一段時光,也將會成為我以后永遠的美好的回憶,在這里有治學嚴謹而不失親切的老師,也有互相幫助情同骨肉的同學,更有和諧、融洽的學習生活氛圍,這里將是我永遠向往的地方。借此論文之際,我想向所有人表達我的最誠摯的謝意,愿我們將來都越來越好。25

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

相關資源

更多
正為您匹配相似的精品文檔
關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!