《設(shè)計(jì)自動(dòng)化》PPT課件

上傳人:san****019 文檔編號(hào):15892329 上傳時(shí)間:2020-09-12 格式:PPT 頁數(shù):33 大小:1.29MB
收藏 版權(quán)申訴 舉報(bào) 下載
《設(shè)計(jì)自動(dòng)化》PPT課件_第1頁
第1頁 / 共33頁
《設(shè)計(jì)自動(dòng)化》PPT課件_第2頁
第2頁 / 共33頁
《設(shè)計(jì)自動(dòng)化》PPT課件_第3頁
第3頁 / 共33頁

下載文檔到電腦,查找使用更方便

9.9 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《《設(shè)計(jì)自動(dòng)化》PPT課件》由會(huì)員分享,可在線閱讀,更多相關(guān)《《設(shè)計(jì)自動(dòng)化》PPT課件(33頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、教材,數(shù)字系統(tǒng)電子自動(dòng)化設(shè)計(jì)教程梁淼 劉會(huì)軍 北京理工大學(xué)出版社 2008,參考書,數(shù)字系統(tǒng)設(shè)計(jì)自動(dòng)化 邊計(jì)年 薛宏熙 蘇明 清華大學(xué)出版社 2005 數(shù)字系統(tǒng)自動(dòng)設(shè)計(jì)實(shí)用教程,劉明業(yè),高等教育出版社,2004年7月 VHDL設(shè)計(jì)表示和綜合,James R. Armstrong 著,李宗伯譯,機(jī)械工業(yè)出版社,2002年5月,考試成績,平時(shí)成績(30分) 上機(jī)實(shí)驗(yàn)(20分)、平時(shí)考勤(10分) 期末考試(70分),教學(xué)目的,了解用EDA工具設(shè)計(jì)電子線路的基本方法和EDA工具的基本理論和技術(shù)。 利用EDA工具設(shè)計(jì)集成電路。,預(yù)備知識(shí),1. 硬件設(shè)計(jì)的基礎(chǔ)知識(shí) 數(shù)字邏輯 計(jì)算機(jī)組成原理 2. 算法的

2、基礎(chǔ)知識(shí) 程序設(shè)計(jì)語言(C、PASCAL) 數(shù)據(jù)結(jié)構(gòu),課程內(nèi)容,第一章 緒論 第二章 現(xiàn)代可編程邏輯器件 第三章 現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì) 第四章 VHDL語言基礎(chǔ) 第五章 可編程邏輯器件的開發(fā)系統(tǒng) 第六章 邏輯電路設(shè)計(jì)實(shí)例,學(xué)習(xí)重點(diǎn)及學(xué)習(xí)方法,學(xué)習(xí)重點(diǎn) 硬件描述語言、軟件開發(fā)工具,學(xué)習(xí)方法,抓住一個(gè)重點(diǎn):VHDL的編程 掌握EDA工具:MAXPLUS II 運(yùn)用三種手段:案例分析、應(yīng)用設(shè)計(jì)、上機(jī)實(shí)踐,教學(xué)基本要求,正確認(rèn)識(shí)課程的用途、特點(diǎn)及對(duì)專業(yè)技能的影響。 充分利用網(wǎng)絡(luò),提高自學(xué)能力。注重相互交流,共同提高。 請(qǐng)同學(xué)們課堂上注意聽講并在講義中補(bǔ)充課堂筆記,認(rèn)真獨(dú)立做好實(shí)驗(yàn)。 充分利用上機(jī)時(shí)間、多編

3、程練習(xí)。,第1章 緒論,知識(shí)點(diǎn): 常用的專業(yè)術(shù)語 EDA的含義 EDA設(shè)計(jì)流程 EDA工具各模塊的主要功能,EDA: Electronic Design Automation 電子設(shè)計(jì)自動(dòng)化 PLD: Programmable Logical Device 可編程邏輯器件 CPLD: Complex Programmable Logical Device復(fù)雜可編程邏輯器件 FPGA: Field Programmable Gates Array 現(xiàn)場(chǎng)可編程門陣列,常用專業(yè)術(shù)語,HDL: Hardware Description Language 硬件描述語言 ASIC: Application

4、 Specific Integrated Circuit專用集成電路 SOC: System On a Chip 片上系統(tǒng)(系統(tǒng)級(jí)芯片) ISP: In System Programmable 芯片在系統(tǒng)可編程,1.1 EDA技術(shù)及發(fā)展,EDA技術(shù)的發(fā)展與集成電路的發(fā)展相伴而行 SSI:小規(guī)模集成電路 MSI:中規(guī)模集成電路 LSI:大規(guī)模集成電路 VLSI:超大規(guī)模集成電路 ASIC: Application Specific Integrated Circuit專用集成電路 SOC: System On a Chip系統(tǒng)級(jí)芯片(片上系統(tǒng)),系統(tǒng)級(jí)芯片結(jié)構(gòu)的示意圖,VLSI的分類,1. 按工

5、藝分類,最主要的有: 金屬氧化物半導(dǎo)體(Metal Oxide Semiconductor, MOS)工藝; 晶體管-晶體管邏輯(Transistor-Transistor Logic, TTL); 發(fā)射極耦合邏輯(Emitter Coupled Logic, ECL)。,2. 按生產(chǎn)目的分類: 通用集成電路; 專用集成電路(Application Specific Integrated Circuit, ASIC)。 3. 按實(shí)現(xiàn)方式(設(shè)計(jì)風(fēng)格)分類:全定制(Full -Custom)方式;半定制(Semi-Custom)方式; 可編程邏輯器件( Programmable Logical D

6、evice)方式;,1.1 EDA技術(shù)及發(fā)展,1. EDA技術(shù)的含義 EDA-Electronic Design Automation(電子設(shè)計(jì)自動(dòng)化),EDA技術(shù)以計(jì)算機(jī)為工具,代替人完成數(shù)字系統(tǒng)的邏輯綜合、布局布線和設(shè)計(jì)仿真等工作。設(shè)計(jì)人員只需要完成對(duì)系統(tǒng)功能的描述,就可以由計(jì)算機(jī)軟件進(jìn)行處理,得到設(shè)計(jì)結(jié)果,而且修改設(shè)計(jì)如同修改軟件一樣方便,可以極大地提高設(shè)計(jì)效率。,EDA技術(shù)伴隨著計(jì)算機(jī)、集成電路和電子系統(tǒng)設(shè)計(jì)的發(fā) 展,經(jīng)歷了計(jì)算機(jī)輔助設(shè)計(jì)(Computer Assist Design,CAD)、 計(jì)算機(jī)輔助工程設(shè)計(jì)(Computer Assist Engineering Design,

7、CAED) 電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation,EDA)三個(gè)階段 20世紀(jì)70年代的計(jì)算機(jī)輔助設(shè)計(jì)(CAD)階段 20世紀(jì)80年代的計(jì)算機(jī)輔助工程設(shè)計(jì)(CAED)階段 20世紀(jì)90年代初電子系統(tǒng)設(shè)計(jì)自動(dòng)化(EDA)階段,2. EDA技術(shù)的發(fā)展概況,縮短設(shè)計(jì)周期 計(jì)算機(jī)模擬代替搭實(shí)驗(yàn)電路 提高設(shè)計(jì)質(zhì)量 靈敏度分析、容差分析、成品率模擬、最壞情況分析、優(yōu)化設(shè)計(jì)等 共享設(shè)計(jì)資源 成熟的單元設(shè)計(jì)及各種模型和模型參數(shù)均放在數(shù)據(jù)庫中 較強(qiáng)的數(shù)據(jù)處理能力 計(jì)算機(jī)存儲(chǔ)量大、數(shù)據(jù)處理能力強(qiáng),設(shè)計(jì)完后,可以很方便地生成各種數(shù)據(jù)文件和報(bào)表文件 節(jié)省設(shè)計(jì)費(fèi)用,3. EDA技術(shù)的優(yōu)

8、點(diǎn),1.2 可編程邏輯器件的發(fā)展概況,ASIC的設(shè)計(jì)與制造,已不再完全由半導(dǎo)體廠商獨(dú)立承擔(dān),系統(tǒng)設(shè)計(jì)師在實(shí)驗(yàn)室里就可以設(shè)計(jì)出合適的ASIC芯片,并且立即投入實(shí)際應(yīng)用之中,這都得益于可編程邏輯器件PLD的出現(xiàn)?,F(xiàn)在應(yīng)用最廣泛的PLD主要是現(xiàn)場(chǎng)可編程門陣列FPGA、復(fù)雜可編程邏輯器件CPLD。,最早的PLD是1970年制成的PROM(Programmable Read Only Memory),即可編程只讀存儲(chǔ)器。PROM采用熔絲工藝編程,只能寫一次,不能擦除和重寫。隨著技術(shù)的發(fā)展和應(yīng)用要求,此后又出現(xiàn)了UVEPROM(紫外線可擦除只讀存儲(chǔ)器)、EEPROM(電可擦除只讀存儲(chǔ)器),由于它們價(jià)格低,

9、易于編程,速度低,因此主要用作存儲(chǔ)器。,可編程邏輯陣列PLA(Programmable Logic Array)于20世紀(jì)70年代中期出現(xiàn),它是由可編程的與陣列和可編程的或陣列組成,但由于器件的資源利用率低,價(jià)格較貴,編程復(fù)雜,支持PLA的開發(fā)軟件有一定難度,因而沒有得到廣泛應(yīng)用。,可編程陣列邏輯PAL(Programmable Array Logic)器件是1977年美國MMI公司(單片存儲(chǔ)器公司)率先推出的,器件的工作速度很高。由于它的輸出結(jié)構(gòu)種類很多,設(shè)計(jì)很靈活,因而成為第一個(gè)得到普遍應(yīng)用的可編程邏輯器件。,通用陣列邏輯器件GAL(Generic Array Logic)器件是1985年

10、Lattice公司最先發(fā)明的可電擦寫、可重復(fù)編程、可設(shè)置加密位的PLD。在實(shí)際應(yīng)用中,GAL器件對(duì)PAL器件仿真具有百分之百的兼容性,所以GAL幾乎完全代替了PAL器件,并可以取代大部分SSI、MSI數(shù)字集成電路,因而獲得廣泛應(yīng)用。,可擦除可編程邏輯器件EPLD(Erasable PLD)是20世紀(jì)80年代中期Altera公司推出的基于UVEPROM和CMOS技術(shù)的PLD,后來發(fā)展到采用E2CMOS工藝制作的PLD。從某種意義上講EPLD是改進(jìn)的GAL,靈活性較GAL有較大改善,集成密度大幅度提高,內(nèi)部連線相對(duì)固定,延時(shí)小,有利于器件在高頻率下工作,但內(nèi)部互連能力十分弱。,復(fù)雜可編程邏輯器件C

11、PLD(Complex PLD)是20世紀(jì)80年代末Lattice公司提出的在線可編程(ISP,In System Programmability)技術(shù)以后于20世紀(jì)90年代初出現(xiàn)的。CPLD是在EPLD的基礎(chǔ)上發(fā)展起來的。其典型器件有Altera的MAX7000系列,Xilinx的7000和9500系列,Lattice的PLSI/ispLSI系列和AMD的MACH系列。,現(xiàn)場(chǎng)可編程門陣列FPGA(Field Programmable GateArray)器件是Xilinx公司1985年首家推出的FPGA出現(xiàn)后受到電子設(shè)計(jì)工程師的普遍歡迎,發(fā)展十分迅速。Xilinx、Altera和Actel等

12、公司都提供高性能的FPGA芯片。,PLD器件,1.3 EDA系統(tǒng)軟件與器件,目前EDA已形成兩大主流: 1由軟件公司開發(fā)的設(shè)計(jì)、制版、仿真和測(cè)試系統(tǒng)軟件。 2由器件公司研制、以PLD器件為主的數(shù)字電路系統(tǒng)設(shè)計(jì)開發(fā)軟件,由軟件公司開發(fā)的幾種軟件,PSPICE ( Personal Simulation Program With Integrated Circuit Emphasis) 用于模擬電路的設(shè)計(jì)與仿真分析 美國Microsim公司出版 ORCAD 用于印刷電路板設(shè)計(jì)和電路圖繪制、數(shù)字邏輯模擬和PLD設(shè)計(jì) 美國ORCAD System公司推出 PROTEL用于電原理圖設(shè)計(jì)、自動(dòng)布線、制版及

13、信號(hào)波形分析,是一種通用設(shè)計(jì)軟件 澳大利亞POTEL 公司推出 ORCAD/PSPICE 9 由ORCAD公司與Microsim公司聯(lián)合后推出的軟件,增加了數(shù)模混合模擬與系統(tǒng)優(yōu)化設(shè)計(jì)功能 EWB(Electronic Work Bench) 加拿大Interactive Image Technologies公司(IIT)推出,其第6版更名為Multisim 2000??捎糜陔娫韴D創(chuàng)建(元件庫可擴(kuò)充、模型與參數(shù)可以修改)、電路的仿真測(cè)試和分析,并有10種虛擬儀器供分析測(cè)試使用。無印制版設(shè)計(jì)和自動(dòng)布線功能。,1.3 EDA系統(tǒng)軟件,可編程邏輯器件 PLD(Programmable Logic D

14、evice)是用于專用集成電路ASIC(Application Specific Integrated Circuit)的設(shè)計(jì)的通用器件,邏輯功能由用戶對(duì)器件編程設(shè)定,1.3 EDA系統(tǒng)軟件,PLD的主要開發(fā)軟件及開發(fā)商,CPLD開發(fā)軟件Max Plus II(Quartus)Altera公司 FPGA開發(fā)軟件FundationXiLinX公司 ISPLSI開發(fā)軟件 Expert(Synario)Lattice公司集成化開發(fā)系統(tǒng)軟件包 優(yōu)秀PLD開發(fā)程序的集成 XACT5.0 XinLinX公司 ISP Synario SystemLattice公司,1.4 硬件描述語言及IEEE標(biāo)準(zhǔn),1、硬

15、件描述語言 硬件描述語言HDL是EDA技術(shù)中的重要組成部分,每個(gè)開發(fā)系統(tǒng)都有自己的描述語言,為便于各系統(tǒng)間兼容,IEEE公布了幾種標(biāo)準(zhǔn)語言。最常用的是以下兩種: VHDL(Very hight speed integrated circuit Hardware Describe Language) 超高速集成電路硬件描述語言。美國國防部1985年推出 Verilog HDL是美國Gateway Design Automation于1983年開發(fā)的邏輯模擬器VerilogXL所用的硬件描述語言。,2、硬件描述語言的綜合,假設(shè)我們需要建造一棟樓房,需要幾步? 第一,進(jìn)行“建筑設(shè)計(jì)”用設(shè)計(jì)圖紙把建筑

16、設(shè)想表示出來; 第二,進(jìn)行“建筑預(yù)算”根據(jù)投資規(guī)模、擬建樓房的結(jié)構(gòu)及有關(guān)建房的經(jīng)驗(yàn)數(shù)據(jù)等計(jì)算需要多少基本的建筑材料(如磚、水泥、預(yù)制塊、門、窗戶等);,1.5 EDA設(shè)計(jì)流程,第三,根據(jù)建筑設(shè)計(jì)和建筑預(yù)算進(jìn)行“施工設(shè)計(jì)”這些磚、水泥、預(yù)制塊、門、窗戶等具體砌在房子的什么部位,相互之間怎樣連接; 第四,根據(jù)施工圖進(jìn)行“建筑施工”將這些磚、水泥、預(yù)制塊、門、窗戶等按照規(guī)定施工建成一棟樓房; 最后,施工完畢后,還要進(jìn)行“建筑驗(yàn)收”檢驗(yàn)所建樓房是否符合設(shè)計(jì)要求。,設(shè)計(jì)輸入:將設(shè)計(jì)的系統(tǒng)或電路以開發(fā)軟件要求的某種形式表示出來,并送入計(jì)算機(jī)的過程。 3種設(shè)計(jì)輸入形式: 圖形設(shè)計(jì)輸入方式:容易實(shí)現(xiàn)仿真,便于

17、信號(hào)的觀察和電路的調(diào)整 文本方式設(shè)計(jì)輸入方式:目前最常用的輸入方式 波形輸入方式:適用于時(shí)序邏輯和有重復(fù)性的邏輯函數(shù),1.5 EDA設(shè)計(jì)流程,綜合(synthesis):將電路的高級(jí)語言描述轉(zhuǎn)換為低級(jí)的、可與FPGA/CPLD或構(gòu)成ASIC的門陣列基本結(jié)構(gòu)相映射的網(wǎng)表文件 網(wǎng)表文件:按照某種規(guī)定描述電路的基本組成及如何相互連接的文件,目標(biāo)器件的布線/適配 將綜合器產(chǎn)生的網(wǎng)表文件針對(duì)具體的目標(biāo)器件進(jìn)行邏輯映射,產(chǎn)生可用于編程的文件。,1.5 EDA設(shè)計(jì)流程,仿真 時(shí)序仿真與功能仿真,編程下載和硬件測(cè)試,1.6 EDA工具的組成模塊,EDA工具大致可以分為5個(gè)模塊 設(shè)計(jì)輸入編輯器 仿真器 HDL綜

18、合器 適配器(或布局布線器) 下載器,MAX+PLUS II軟件組成,設(shè)計(jì)輸入,項(xiàng)目編譯Compiler,項(xiàng)目校驗(yàn),器件編程,MAX+PLUS II 時(shí)間分析器 Time Analyzer,MAX+PLUS II 圖形編輯器 Graphic editor,MAX+PLUS II 文本編輯器 Text editor,MAX+PLUS II 編程器 Programmer,編譯器 網(wǎng)表提取器,適配,邏輯 綜合器,數(shù)據(jù)庫 建庫器,MAX+PLUS II 信息處理器 Messages 和 層次顯示 Hierarchy Display,MAX+PLUS II 波形編輯器 Waveform Editor,MAX+PLUS II 仿真器 Simulater,學(xué)習(xí)EDA到底有什么用呢?,真有趣,可以按自己的想法設(shè)計(jì)一個(gè)芯片!,我也要參加全國大學(xué)生電子設(shè)計(jì)競(jìng)賽!,呀,畢業(yè)設(shè)計(jì)正好能用得上哎!,原來在一個(gè)芯片里就可以設(shè)計(jì)一個(gè)完整的計(jì)算機(jī)系統(tǒng)呀!,找工作時(shí)也算得上一技之長哦!,

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!