畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)

上傳人:痛*** 文檔編號(hào):41204511 上傳時(shí)間:2021-11-19 格式:DOC 頁數(shù):61 大?。?.24MB
收藏 版權(quán)申訴 舉報(bào) 下載
畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)_第1頁
第1頁 / 共61頁
畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)_第2頁
第2頁 / 共61頁
畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)_第3頁
第3頁 / 共61頁

下載文檔到電腦,查找使用更方便

10 積分

下載資源

還剩頁未讀,繼續(xù)閱讀

資源描述:

《畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《畢業(yè)設(shè)計(jì)籃球賽計(jì)時(shí)記分器設(shè)計(jì)(61頁珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

1、籃球賽計(jì)時(shí)計(jì)分器設(shè)計(jì)院 系自動(dòng)化學(xué)院專 業(yè)自動(dòng)化班 級(jí) 學(xué) 號(hào) 姓 名 指導(dǎo)教師 負(fù)責(zé)教師 2008年6月畢業(yè)設(shè)計(jì)(論文)摘 要體育比賽中的計(jì)時(shí)計(jì)分系統(tǒng)是對(duì)體育比賽過程中所產(chǎn)生的時(shí)間、比分等數(shù)據(jù)信息進(jìn)行快速采集、加工處理、傳遞和利用的系統(tǒng)。此系統(tǒng)能否清晰、穩(wěn)定、精確的反應(yīng)體育比賽中的客觀數(shù)據(jù),直接影響到比賽的公平性和公正性。本文針對(duì)上述情況研制了籃球賽計(jì)時(shí)計(jì)分器。該系統(tǒng)以單片機(jī)為核心,利用7段共陰LED作為顯示器件。為了調(diào)整比賽中的時(shí)間和比分,在本設(shè)計(jì)中設(shè)立了8個(gè)按鍵,分別用于記錄甲、乙兩隊(duì)的分?jǐn)?shù),設(shè)置賽程時(shí)間,調(diào)整賽程時(shí)間,啟動(dòng)和暫停賽程時(shí)間等功能,本系統(tǒng)還設(shè)計(jì)了定時(shí)報(bào)警系統(tǒng)。實(shí)踐證明,該系

2、統(tǒng)精度高、穩(wěn)定性好、抗干擾性強(qiáng),具有一定的應(yīng)用前景。關(guān)鍵詞:計(jì)時(shí)器;計(jì)分器;單片機(jī);LED顯示AbstractThe system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect th

3、e objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED

4、as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm sys

5、tem is also designed in the system. Experiments has proved that the system has high precision, good stability, strong anti-interference and it have a application prospects.Key words: calculagraph;scores recorder; MCU; LED display目 錄第1章 緒 論11.1 課題背景11.1.1 籃球賽計(jì)時(shí)計(jì)分器發(fā)展過程21.1.2 籃球賽計(jì)時(shí)計(jì)分器研究目的與意義21.2 課題任務(wù)及要

6、求31.3 課題內(nèi)容及安排3第2章 籃球賽計(jì)時(shí)計(jì)分器的總體方案論證42.1 籃球賽計(jì)時(shí)計(jì)分器的硬件方案論證42.2 籃球賽計(jì)時(shí)計(jì)分器的軟件方案論證52.3 籃球賽計(jì)時(shí)計(jì)分器部分器件方案論證62.3.1 硬件譯碼與軟件譯碼的比較72.3.2 CD4511與MC14495的比較8第3章 籃球賽計(jì)時(shí)計(jì)分器的硬件設(shè)計(jì)113.1 球賽計(jì)時(shí)計(jì)分器的工作原理113.2 計(jì)時(shí)電路的設(shè)計(jì)113.2.1 計(jì)時(shí)電路的工作原理123.2.2 賽程時(shí)間設(shè)置133.2.3 賽程時(shí)間啟/停設(shè)置143.3 計(jì)分電路的設(shè)計(jì)143.3.1 計(jì)分電路的工作原理143.3.2 比分交換控制的實(shí)現(xiàn)143.3.3 比分刷新控制器143.

7、3.4 比分校正控制電路163.4 報(bào)警電路設(shè)計(jì)163.5 單片機(jī)的選擇173.6 顯示電路的設(shè)計(jì)183.7 復(fù)位電路的設(shè)計(jì)20第4章 籃球賽計(jì)時(shí)計(jì)分器的軟件設(shè)計(jì)224.1 監(jiān)控程序設(shè)計(jì)224.2 計(jì)時(shí)顯示子程序設(shè)計(jì)234.3 計(jì)分顯示子程序設(shè)計(jì)254.4 LED顯示子程序設(shè)計(jì)27第5章 籃球賽計(jì)時(shí)計(jì)分器的整體調(diào)試295.1 調(diào)試分析295.2 故障調(diào)試及解決方式305.3 聯(lián)調(diào)結(jié)果32結(jié) 論35社會(huì)經(jīng)濟(jì)效益分析37參考文獻(xiàn)38致 謝40附錄 籃球賽計(jì)時(shí)計(jì)分器的硬件原理圖41附錄 程序清單41附錄 元器件清單5556- -第1章 緒 論在我們生活的大千世界上,人類已進(jìn)入了科學(xué)技術(shù)空前發(fā)展的信息

8、社會(huì)。在這個(gè)瞬息萬變的信息社會(huì)里,隨著大規(guī)模集成電路、計(jì)算機(jī)技術(shù)的迅速發(fā)展,以及人工智能在測(cè)試技術(shù)方面的廣泛應(yīng)用,傳統(tǒng)電子測(cè)量儀器在原理、功能、精度及自動(dòng)化水平等方面都發(fā)生了巨大的變化,逐步形成了一種完全突破傳統(tǒng)概念的新一代的儀器智能儀器。目前,大多數(shù)傳統(tǒng)的電子儀器已有相應(yīng)換代的智能儀器產(chǎn)品,而且還出現(xiàn)了不少全新的儀器類型,使現(xiàn)代電子儀器發(fā)生了根本性的變化。1.1 課題背景體育比賽計(jì)時(shí)計(jì)分系統(tǒng)是對(duì)體育比賽過程中所產(chǎn)生的時(shí)間,比分等數(shù)據(jù)信息進(jìn)行快速采集記錄、加工處理、傳遞和利用的系統(tǒng)。根據(jù)運(yùn)動(dòng)項(xiàng)目的不同,比賽規(guī)則要求也不同,體育比賽的計(jì)時(shí)計(jì)分系統(tǒng)包括測(cè)量類,評(píng)分類,命中類,制勝類和得分類等多種類

9、型?;@球比賽是根據(jù)運(yùn)動(dòng)隊(duì)員在規(guī)定的比賽時(shí)間里得分多少來決定勝負(fù)的,因此,籃球比賽的計(jì)時(shí)計(jì)分系統(tǒng)是一種得分類型的系統(tǒng)?;@球比賽的計(jì)時(shí)計(jì)分系統(tǒng)由計(jì)時(shí)器、計(jì)分器等多種電子設(shè)備組成的,同時(shí),根據(jù)目前高水平籃球比賽要求,完善的籃球比賽計(jì)時(shí)計(jì)分系統(tǒng)設(shè)備應(yīng)能夠與現(xiàn)場(chǎng)成績處理,現(xiàn)場(chǎng)大屏幕,電視轉(zhuǎn)播車等多種設(shè)備相聯(lián),以便實(shí)現(xiàn)激烈的比賽現(xiàn)場(chǎng)感和表演娛樂等功能目標(biāo)。由于單片機(jī)的集成度高,功能強(qiáng),通用性好,特別是它具有體積小,重量輕,能耗低,價(jià)格便宜,可靠性高,抗干擾能力強(qiáng)和使用方便等獨(dú)特的優(yōu)點(diǎn),使單片機(jī)迅速得到了推廣應(yīng)用,目前已經(jīng)成為測(cè)量控制應(yīng)用系統(tǒng)中的優(yōu)選機(jī)種和新電子產(chǎn)品的關(guān)鍵部位。世界各大電氣廠家,測(cè)控技術(shù)企業(yè)

10、,機(jī)電行業(yè),競(jìng)相把單片機(jī)應(yīng)用于產(chǎn)品更新,作為實(shí)現(xiàn)數(shù)字化,智能化的核心部件。籃球計(jì)時(shí)計(jì)分器就是以單片機(jī)為核心的計(jì)時(shí)計(jì)分系統(tǒng),由計(jì)時(shí)器,計(jì)分器,綜合控制器和24秒控制器等組成。1.1.1 籃球賽計(jì)時(shí)計(jì)分器發(fā)展過程籃球是以投籃為中心的對(duì)抗性體育運(yùn)動(dòng)之一,1892年1月(另一種說法是1891年12月),為美國馬薩諸塞州菲爾德基督教青年會(huì)訓(xùn)練學(xué)校教師詹姆士奈斯密斯博士所創(chuàng)。為了完善籃球游戲,他在1892年制定了13條規(guī)則,后逐步修改和增加條款,出場(chǎng)人數(shù)也逐漸減少,直至規(guī)定每隊(duì)5人,這才成為現(xiàn)代的籃球運(yùn)動(dòng),同時(shí)伴隨著籃球的出現(xiàn),籃球賽計(jì)時(shí)計(jì)分器也應(yīng)運(yùn)而生了。起初,并沒有正規(guī)的計(jì)時(shí)計(jì)分器,只有簡單的比賽計(jì)時(shí)

11、鐘和記錄板。1932年,剛誕生的國際籃聯(lián)以美國大學(xué)使用的籃球規(guī)則為基礎(chǔ),制定了第一份世界統(tǒng)一的競(jìng)賽規(guī)則,同時(shí)籃球賽計(jì)時(shí)計(jì)分器也開始進(jìn)入了電子時(shí)代 ,開始出現(xiàn)比賽計(jì)時(shí)鐘、簡單電路搭成的計(jì)分電路。供暫停時(shí)用的計(jì)秒表或適宜的(可見的)裝置(不是比賽計(jì)時(shí)鐘)。兩個(gè)獨(dú)立的、顯然不同的和非常響亮的信號(hào),記錄表,隊(duì)員犯規(guī)標(biāo)志牌。80年代是高度、技術(shù)和速度同步發(fā)展時(shí)期,各國在重視發(fā)展高度的同時(shí),電子技術(shù)也進(jìn)入一個(gè)新的階段,逐步形成了一種完全突破傳統(tǒng)概念的新一代的儀器智能儀器。目前,大多數(shù)傳統(tǒng)的電子儀器已有相應(yīng)換代的智能儀器產(chǎn)品,同時(shí)以單片機(jī)為控制核心,智能器件也應(yīng)用到了籃球賽場(chǎng)中。1.1.2 籃球賽計(jì)時(shí)計(jì)分器

12、研究目的與意義經(jīng)過多年的研究與生產(chǎn)實(shí)踐,解決了籃球賽計(jì)時(shí)計(jì)分器穩(wěn)定性能低、精確度低、體積笨拙等制造工藝上的難題。但是籃球賽計(jì)時(shí)計(jì)分器還不能廣泛應(yīng)用于各種場(chǎng)所,它的成本很高,一些中小型工廠、學(xué)校、企業(yè)還是延續(xù)手到計(jì)時(shí)和計(jì)分。采用單片機(jī)控制是這個(gè)系統(tǒng)按鍵操作使用簡潔,LED顯示,安裝方便。解決了籃球比賽計(jì)數(shù)器的安裝問題,節(jié)約了線材,適合在各種規(guī)模的體育場(chǎng)館使用,完全可以代替?zhèn)鹘y(tǒng)的用鐘表進(jìn)行計(jì)時(shí)的方法,當(dāng)然稍加改動(dòng)也可以用于其他球類比賽,是體育器材向智能化發(fā)展的一個(gè)實(shí)例。雖然籃球比賽中很早就開始研究應(yīng)用了電子計(jì)時(shí)計(jì)分器,但通常都是利用模擬電子器件、數(shù)字電子器件或是模擬、數(shù)字混合組成的, 其穩(wěn)定性和高

13、準(zhǔn)確度計(jì)時(shí)計(jì)分仍存在一些問題。隨著科學(xué)技術(shù)的發(fā)展和電子技術(shù)的不斷更新,對(duì)其穩(wěn)定和高準(zhǔn)確度計(jì)時(shí)計(jì)分的實(shí)現(xiàn)至今仍是生產(chǎn)和科研的課題。工程技術(shù)的電子化、集成化和系統(tǒng)化促進(jìn)了電子工程技術(shù)的發(fā)展,同時(shí)也促進(jìn)了電子工程技術(shù)在社會(huì)各行業(yè)中的廣泛應(yīng)用。隨著單片機(jī)在各個(gè)領(lǐng)域的廣泛應(yīng)用,許多單片機(jī)作控制的球賽計(jì)時(shí)計(jì)分系統(tǒng)也就應(yīng)運(yùn)產(chǎn)生,例如用單片機(jī)控制LCD液晶顯示器的計(jì)時(shí)計(jì)分器,用單片機(jī)控制LED七段顯示器的計(jì)時(shí)計(jì)分器等。同時(shí)單片機(jī)在此領(lǐng)域的廣泛應(yīng)用,也大大提高了比賽中計(jì)時(shí)計(jì)分器的穩(wěn)定性和準(zhǔn)確性。1.2 課題任務(wù)及要求本次設(shè)計(jì)的籃球賽計(jì)時(shí)計(jì)分器主要利用AT89C52單片機(jī)編程控制LED七段數(shù)碼管作顯示的球賽計(jì)時(shí)計(jì)

14、分系統(tǒng)。本系統(tǒng)具有賽程定時(shí)設(shè)置;賽程時(shí)間暫停;及時(shí)刷新甲、乙兩隊(duì)雙方的成績;甲、乙雙方交換場(chǎng)地;賽后成績暫存以及24違例報(bào)警等功能。系統(tǒng)設(shè)計(jì)完成后應(yīng)具有成本價(jià)格低廉、性能穩(wěn)定、高準(zhǔn)確度顯示、操作方便且易攜帶等特點(diǎn)。廣泛適合各類學(xué)校和小團(tuán)體作為賽程計(jì)時(shí)計(jì)分?;@球賽計(jì)時(shí)計(jì)分器包含了89C52系列單片機(jī)的最小應(yīng)用系統(tǒng)的構(gòu)成,同時(shí)在此基礎(chǔ)上擴(kuò)展了一些實(shí)用性強(qiáng)的外圍接口,進(jìn)一步了解到LED顯示器的結(jié)構(gòu)、工作原理以及這種顯示器的接口通信,學(xué)習(xí)利用串行口來擴(kuò)展顯示接口等。設(shè)計(jì)一個(gè)籃球賽計(jì)時(shí)計(jì)分器的系統(tǒng)。該系統(tǒng)具有以下功能:1 以AT89C52單片機(jī)為處理核心;2 LED數(shù)碼管顯示時(shí)間和比分;3 方便設(shè)置比賽

15、中各個(gè)環(huán)節(jié)。1.3 課題內(nèi)容及安排本文對(duì)籃球賽計(jì)時(shí)計(jì)分器的設(shè)計(jì)進(jìn)行了詳細(xì)的介紹,共分五章。第1章簡要介紹了整個(gè)課題的研究背景、目的、意義及整個(gè)任務(wù)的要求安排;第2章是針對(duì)此次課題的任務(wù)進(jìn)行方案論證,尤其指明了顯示部分相應(yīng)的處理方案,及其優(yōu)劣取舍,之后還包含了硬件方案和軟件方案分析;第3章具體介紹了籃球賽計(jì)時(shí)計(jì)分器的硬件設(shè)計(jì),包括計(jì)時(shí)電路、計(jì)分電路、24秒違例報(bào)警電路以及比賽結(jié)束報(bào)警電路的設(shè)計(jì)等;第4章闡述了籃球賽計(jì)時(shí)計(jì)分器的軟件設(shè)計(jì),包括時(shí)間顯示子程序,分?jǐn)?shù)顯示子程序,延時(shí)子程序的設(shè)計(jì)等。第5章是針對(duì)硬件調(diào)試、軟件調(diào)試和整機(jī)聯(lián)調(diào)的結(jié)果進(jìn)行了具體的分析和說明。第2章 籃球賽計(jì)時(shí)計(jì)分器的總體方案論

16、證籃球賽計(jì)時(shí)計(jì)分器的整體設(shè)計(jì)中最重要的部分是對(duì)籃球賽中的得分?jǐn)?shù)據(jù)以及賽程時(shí)間處理后顯示,雖然籃球比賽中很早就開始研究應(yīng)用了電子計(jì)時(shí)計(jì)分器,但其穩(wěn)定性和高準(zhǔn)確度計(jì)時(shí)計(jì)分仍存在一些問題,隨著科學(xué)技術(shù)的發(fā)展和電子技術(shù)的不斷更新,對(duì)其穩(wěn)定和高準(zhǔn)確度計(jì)時(shí)計(jì)分的實(shí)現(xiàn)至今仍是生產(chǎn)和科研的課題。尤其是單片機(jī)已廣泛應(yīng)用在各個(gè)領(lǐng)域,計(jì)時(shí)計(jì)分器已經(jīng)進(jìn)入數(shù)字化,智能化時(shí)代?;@球賽計(jì)時(shí)計(jì)分器設(shè)計(jì)關(guān)鍵是必須考慮和解決在比賽過程中,對(duì)數(shù)據(jù)穩(wěn)定、精確、客觀的顯示,能夠抵抗各方面的干擾,給隊(duì)員以及場(chǎng)內(nèi)、場(chǎng)外的觀眾一個(gè)清晰,明了的結(jié)果,尤其對(duì)于參賽的隊(duì)員特別重要,客觀、清晰的顯示賽場(chǎng)上的數(shù)據(jù),直接影響隊(duì)員的決策。為了解決上述問題

17、,在所研制籃球賽計(jì)時(shí)計(jì)分器中采用軟硬件結(jié)合的方式,使研制成的籃球賽計(jì)時(shí)計(jì)分器具有很好的穩(wěn)定性及抗干擾性,且能保持較高的精確度,很符合需要。2.1 籃球賽計(jì)時(shí)計(jì)分器的硬件方案論證本系統(tǒng)采用單片機(jī)AT89C52作為核心元器件,利用7段共陰LED作為顯示器件,分別用于顯示比賽過程中的時(shí)間以及甲、乙兩對(duì)的分?jǐn)?shù)。賽程計(jì)時(shí)采用倒計(jì)時(shí)方式。即比賽前將時(shí)間設(shè)置好,比賽開始時(shí)啟動(dòng)計(jì)時(shí),直至計(jì)時(shí)到零為止。其次,為了配合計(jì)時(shí)器和計(jì)分器校正、調(diào)整時(shí)間和比分,在本設(shè)計(jì)中特定設(shè)立了按鍵。分別用于刷新甲、乙兩隊(duì)的分?jǐn)?shù)、設(shè)置賽程時(shí)間、調(diào)整賽程時(shí)間、啟動(dòng)賽程時(shí)間、暫停賽程時(shí)間以及刷新24秒違例顯示時(shí)間等功能,使所設(shè)計(jì)的系統(tǒng)完全

18、符合實(shí)際比賽中的需求。再次,本系統(tǒng)還設(shè)計(jì)了定時(shí)報(bào)警系統(tǒng),即比賽時(shí)間結(jié)束時(shí),立即通過揚(yáng)聲器發(fā)出報(bào)警聲,提示整個(gè)賽程結(jié)束。而且,當(dāng)24秒違例時(shí)同樣也會(huì)發(fā)出報(bào)警,提示進(jìn)攻方違例。系統(tǒng)硬件電路由以下幾個(gè)部分組成:單片機(jī)AT89C52;計(jì)時(shí)電路;計(jì)分電路;報(bào)警電路;比賽進(jìn)程顯示電路;按鍵開關(guān)。其中計(jì)時(shí)電路完成賽程時(shí)間的設(shè)定,隨時(shí)刷新的功能,系統(tǒng)硬件結(jié)構(gòu)框圖如圖2.1所示。圖2.1 籃球賽計(jì)時(shí)計(jì)分器的結(jié)構(gòu)框圖系統(tǒng)設(shè)計(jì)過程中采用單片機(jī)AT89C52作為核心元件,所以應(yīng)該全面、深度掌握單片機(jī)的結(jié)構(gòu)、特點(diǎn)以及它的工作原理,才能更好的發(fā)揮單片機(jī)的強(qiáng)大功能,完成本次畢業(yè)設(shè)計(jì)的任務(wù)要求。一個(gè)完整的計(jì)算機(jī)應(yīng)該由運(yùn)算器、

19、控制器、存儲(chǔ)器(ROM及RAM)和I/O接口組成。其結(jié)構(gòu)框圖如圖2.2所示。圖2.2 單片機(jī)結(jié)構(gòu)框2.2 籃球賽計(jì)時(shí)計(jì)分器的軟件方案論證軟件是整個(gè)設(shè)計(jì)的關(guān)鍵所在,它可以使儀器的主要功能得以實(shí)現(xiàn)。而在軟件設(shè)計(jì)方法中,結(jié)構(gòu)化設(shè)計(jì)是使用最廣泛的,最有效的,所以一般都采用模塊化設(shè)計(jì)的思想進(jìn)行程序設(shè)計(jì)。根據(jù)這一原則和畢業(yè)設(shè)計(jì)任務(wù)書的要求,本設(shè)計(jì)的軟件部分主要包括:計(jì)時(shí)顯示模塊,計(jì)分顯示模塊,24秒違例顯示模塊,延時(shí)模塊等幾大模塊。各程序以模塊形式進(jìn)行設(shè)計(jì),獨(dú)立成章,運(yùn)行過程中可以調(diào)用執(zhí)行,整個(gè)籃球賽計(jì)時(shí)計(jì)分器的軟件流程圖如圖2.3所示。圖2.3 主程序流程圖2.3 籃球賽計(jì)時(shí)計(jì)分器部分器件方案論證在整體

20、方案設(shè)計(jì)過程中,對(duì)方案的選擇以及元器件的選擇,往往會(huì)影響到,整個(gè)系統(tǒng)設(shè)計(jì)的成功與否。所以在方案論證中,這一環(huán)節(jié)不是可缺少的。2.3.1 硬件譯碼與軟件譯碼的比較硬件譯碼即采用專用的帶驅(qū)動(dòng)器的LED段譯碼器,如CD4511,MC14495,74LS164等。在本次設(shè)計(jì)中,選用了CD4511為LED顯示器的譯碼芯片,使用時(shí)只要將CD4511的輸入與微機(jī)系統(tǒng)輸出端口的某4個(gè)數(shù)據(jù)位相連,而CD4511的輸出直接與LED的ag相接,便可實(shí)現(xiàn)對(duì)BCD碼的顯示。如圖2.4所示為對(duì)1位BCD碼的顯示。圖2.4 采用CD4511譯碼的1位LED顯示電路圖軟件譯碼是在軟件設(shè)計(jì)時(shí),在數(shù)據(jù)段定義09共10個(gè)數(shù)字。在程

21、序中利用查表指令MOVC指令進(jìn)行軟件譯碼?,F(xiàn)假設(shè)用共陰極LED來顯示數(shù)據(jù),則可以用以下一段程序?qū)崿F(xiàn)09的顯示,與單片機(jī)接口圖如圖2.5所示。圖2.5 1位動(dòng)態(tài)LED顯示器電路MOV R1,#0AHMOV R0,#00HBUF:MOV A,R0 MOV DPTR,#DATA MOVC A,A+DPTR MOV P1,A INC R0 DJNE R1,BUF SJMP NEXTDATA:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH通過上述比較,可以得出,硬件譯碼方案,簡單,可實(shí)施性強(qiáng),節(jié)省單片機(jī)的端口,而且不占用單片機(jī)內(nèi)存。軟件譯碼占用單片機(jī)端口配置較多,而

22、且工作不穩(wěn)定,所本設(shè)計(jì)選用硬件譯碼。2.3.2 CD4511與MC14495的比較CD4511為常用的四七段BCD碼輸入端;ag是7段碼輸出;為試燈腳;為消隱(滅燈);和接高電平(電源);LE端為選通腳,接低電平有效,當(dāng)=0時(shí)LED數(shù)碼管顯示全亮筆段“8”字,可以檢查數(shù)碼管的質(zhì)量好壞,有無筆段殘缺現(xiàn)象。當(dāng)=0時(shí)強(qiáng)迫顯示器消隱;當(dāng)LE=0時(shí)選通,LE=1時(shí)鎖存。如圖2.6所示為CD4511引腳分布圖。圖2.6 CD4511引腳分布圖為了能夠正確使用此芯片,必須了解它的內(nèi)部邏輯關(guān)系,如表2.1為CD4511的邏輯功能表。MC14495芯片為BCD碼七段16進(jìn)制譯碼驅(qū)動(dòng)芯片。如圖2.7所示為Moto

23、rola公司生產(chǎn)的CMOSBCD七段16進(jìn)制譯碼器芯片,它具有鎖存、譯碼驅(qū)動(dòng)等功能。它與CD4511主要區(qū)別是:它能對(duì)大于9的二進(jìn)制數(shù)譯碼。即它可以用字母A,B,C,D,E,F(xiàn)來顯示二進(jìn)制數(shù)10,11,12,13,14,15,同時(shí)還有譯碼器輸入大于等于10時(shí)的指表2.1 CD4511的邏輯功能表輸入輸出LEBILTDCBAabcdefg顯示數(shù)字01111111B0100000000110000111111000110001011000010110010110110120110011111100130110100011001140110101101101150110110001111160110

24、11111000007011100011111118011100111100119011101000000000111011000000001111000000000011110100000000111110000000001111110000000011 示端(h+1)。當(dāng)輸入數(shù)據(jù)大于10時(shí),h+1端輸出“1”電平。另外還有輸入數(shù)據(jù)為15時(shí),電路輸出VCR為0電平(其他輸入狀態(tài)時(shí)為高阻)的功能。電路內(nèi)部還有一個(gè)290的限流電阻。LE為選通端,電路中的鎖存器在LED為0時(shí)輸入數(shù)據(jù),在LE=1時(shí)鎖存數(shù)據(jù)。MC14495引腳分布如圖2.7所示。圖2.7 MC14495引腳分布圖表2.2為MC144

25、95的真值表,從表中可以看出,當(dāng)顯示數(shù)據(jù)大于等于10時(shí),h+1端輸高電平。表2.2 MC14495的真值表輸入輸出DCBAabcdefgh+1顯示數(shù)字0000111111000000101100000100101101101020011111100103010001100110401011011011050110001111106011111000000710001111111081001111001109101011101111A101100111111B110010011101C110101111011D111010011111E111110001111F 通過上述比較,根據(jù)本設(shè)計(jì)的實(shí)際要

26、求,四七段BCD碼硬件譯碼芯片CD4511符合設(shè)計(jì)要求,且能完成譯碼任務(wù),本設(shè)計(jì)選用CD4511譯碼芯片。第3章 籃球賽計(jì)時(shí)計(jì)分器的硬件設(shè)計(jì)硬件是整個(gè)籃球賽計(jì)時(shí)計(jì)分器設(shè)計(jì)的基礎(chǔ),怎樣選擇合適的器件來焊接整個(gè)電路十分關(guān)鍵,也是本章敘述的重點(diǎn)。除此之外,以下部分還會(huì)分別闡述所選器件的特性和原理,以及它們所能實(shí)現(xiàn)的功能。3.1 球賽計(jì)時(shí)計(jì)分器的工作原理整個(gè)籃球賽計(jì)時(shí)計(jì)分器的工作過程如下:首先在比賽之前,接通電源,系統(tǒng)自動(dòng)復(fù)位,此時(shí)計(jì)時(shí)電路與計(jì)分電路中的共陰極數(shù)碼管全部顯示為0000、000 000和00;然后按計(jì)時(shí)電路中的K5、K6按鍵來設(shè)置比賽時(shí)間的十位數(shù)和個(gè)位數(shù),時(shí)間設(shè)置好時(shí),等待賽程開始,當(dāng)裁

27、判吹響開始哨聲時(shí),立即按K7鍵,啟動(dòng)計(jì)時(shí),這時(shí)計(jì)時(shí)電路便工作,計(jì)時(shí)采用倒計(jì)時(shí)方式。上半場(chǎng)結(jié)束時(shí),蜂鳴器會(huì)發(fā)出10秒鐘響聲,通知上半場(chǎng),這時(shí)按一下K7鍵,便完成了甲、乙兩隊(duì)的分?jǐn)?shù)交換。在整個(gè)賽程中,還要對(duì)兩隊(duì)比分進(jìn)行及時(shí)刷新,這時(shí)通過計(jì)分電路中的K1K4鍵完成此功能,K1和K2鍵完成甲隊(duì)加、減分,K3和K4鍵完成乙隊(duì)加、減分。按鍵每按一下,表示加或減1分。由于加、減分本設(shè)計(jì)中采用中斷完成,且加、減的中斷優(yōu)先權(quán)小于計(jì)時(shí)電路的中斷優(yōu)先權(quán),所以不會(huì)對(duì)計(jì)時(shí)電路造成影響。如果在賽程過程中,一方教練申請(qǐng)暫停時(shí),經(jīng)裁判批準(zhǔn),立即按K7鍵,即可以暫停計(jì)時(shí),暫停時(shí)間到時(shí),再按K7鍵繼續(xù)計(jì)時(shí),直至上半場(chǎng)賽程結(jié)束,蜂

28、鳴器會(huì)發(fā)出10秒的響聲,下半場(chǎng)同上半場(chǎng)一樣。3.2 計(jì)時(shí)電路的設(shè)計(jì)在此部分電路設(shè)計(jì)中,為了節(jié)省單片機(jī)的端口,采用了硬件譯碼芯片CD4511,可以實(shí)現(xiàn)穩(wěn)定顯示。選用單片機(jī)的P1口為控制4位LED的段選碼;P2口的P2.0P2.3用于控制4位LED位選碼。由于所有的段選碼連在一起,所以同一瞬間只能顯示同一種字符。但如果要顯示不同字符,則要借助位選口來控制,本設(shè)計(jì)采用共陰顯示,所以只要P2.0P2.3輸出高電平即可。例如,現(xiàn)在要顯示5678四個(gè)數(shù)字,則首先應(yīng)該將“5”的顯示代碼(共陰LED的顯示代碼為6DH)由P1.0P1.3送出。然后P2.0P2.3輸出相應(yīng)位選碼(共陰LED時(shí)P2.0P2.3輸出

29、1000)時(shí),則可以看到在數(shù)碼管1上的顯示數(shù)字“5”。再將顯示的數(shù)字“5”延時(shí)510ms,以造成視覺暫留效果;同時(shí)代碼由P1.0P1.3送出。用同樣的方法將其余3個(gè)數(shù)字“678”送數(shù)碼管2、3、4顯示,最后則可以在4位LED上看到“5678”四個(gè)數(shù)字。為了使顯示效果穩(wěn)定,可以使每個(gè)數(shù)碼管顯示的數(shù)字不斷重復(fù),當(dāng)重復(fù)頻率達(dá)到一定程度時(shí),加之人眼視覺暫留作用,便可以看到相當(dāng)穩(wěn)定的“5678”四個(gè)數(shù)字。圖3.1為模擬以上顯示的過程圖。圖3.1 模擬顯示5678的過程圖3.2.1 計(jì)時(shí)電路的工作原理計(jì)時(shí)電路主要由按鍵開關(guān)K5K7,單片機(jī)AT89C52,譯碼器以及LED顯示器構(gòu)成。其工作過程如下:當(dāng)調(diào)時(shí)(

30、十位)開關(guān)K5按下時(shí),產(chǎn)生一個(gè)低電平;立即數(shù)00H取出,同時(shí)對(duì)應(yīng)調(diào)分(十位)控制端P2.0的LE輸出高電平,表示此時(shí)可以向調(diào)分(十位)的CD4511發(fā)送數(shù)據(jù),但CD4511的輸出端ag不會(huì)有輸出,因?yàn)長E=1時(shí),CD4511鎖存。這時(shí)只要將要顯示數(shù)據(jù)的代碼以P1口的P1.0 P1.3送到CD4511的輸入端AD端,送完后,將LE清零。這時(shí)便可以將要顯示數(shù)據(jù)的代碼經(jīng)CD4511譯碼后,從輸出端ag輸出,送LED顯示器顯示。時(shí)間設(shè)置完后,啟動(dòng)單片機(jī)內(nèi)部定時(shí)器,開始定時(shí)計(jì)數(shù)。計(jì)時(shí)采用倒計(jì)時(shí),比如:設(shè)置的時(shí)間為45分鐘,則在LED上顯示4500四位數(shù)。定時(shí)計(jì)數(shù)60秒后中斷返回,繼續(xù)定時(shí)計(jì)數(shù)下一個(gè)60秒

31、;同時(shí)則在4位LED顯示器上顯示4459四位數(shù),表示時(shí)間已過去1秒鐘,即為44分59秒。這樣一直持續(xù)下去。直到變?yōu)椤?000”時(shí)表示賽程結(jié)束。如果比賽中,裁判叫暫停,則只要按一下K7鍵,即可暫停計(jì)時(shí)。計(jì)時(shí)電路的原理如圖3.2所示:圖3.2 計(jì)時(shí)電路的原理圖3.2.2 賽程時(shí)間設(shè)置在圖3.2所示的計(jì)時(shí)電路中,按鍵開關(guān)K5、K6用來設(shè)置賽程時(shí)間,每按一次,數(shù)字自動(dòng)加1,直到調(diào)到需要設(shè)置的時(shí)間即時(shí)。例如:比賽時(shí)間上半場(chǎng)時(shí)間為20分鐘,則通過按K5鍵,使數(shù)碼管1顯示“2”即可;再按K6鍵,設(shè)置比賽時(shí)間的個(gè)位數(shù),使數(shù)碼管2顯示“0”即可。一般比賽時(shí)間為40分鐘,所以只需要按K5鍵使數(shù)碼管1顯示“4”,按

32、K6使數(shù)碼管2顯示“0”即可。時(shí)間設(shè)置好后,等待賽程開始。當(dāng)比賽結(jié)束時(shí),如果由于一些特殊原因需增加比賽時(shí)間,這時(shí)增加比賽時(shí)間同樣由按鍵開關(guān)K5、K6用來設(shè)置,且方法跟上面一樣,但一般情況下只需按K6設(shè)置即可。因?yàn)榧淤悤r(shí)間只有幾分鐘。3.2.3 賽程時(shí)間啟/停設(shè)置當(dāng)時(shí)間設(shè)置完后,比如設(shè)置賽程時(shí)間為45分鐘,則在圖3.2所示的LED顯示器上則顯示為4500,45表示分鐘,00表示秒鐘。這時(shí),如果裁判吹響開始哨聲時(shí),則應(yīng)立即按下按鍵K7,表示賽程開始,計(jì)時(shí)顯示則由4500變成4459,4458一直計(jì)為0000時(shí)表示賽程結(jié)束。如圖3.2所示,按鍵K7為賽程啟動(dòng)和暫停控制。3.3 計(jì)分電路的設(shè)計(jì)8051

33、系列單片機(jī)除了有4個(gè)8位并行口外,還有一個(gè)能同時(shí)進(jìn)行串行發(fā)送和接收的全雙工串行通信口。它能同時(shí)發(fā)送和接收數(shù)據(jù),還能作為同步移位寄存器用。球賽計(jì)分電路正是利用了89C52單片機(jī)串行口可以外接串行輸入并行輸出移位寄存作為輸出口來實(shí)現(xiàn)球賽比分刷新顯示的。3.3.1 計(jì)分電路的工作原理計(jì)分電路主要由單片機(jī)AT89C52、串/并轉(zhuǎn)換器、LED顯示器、74LS21以及按鍵開關(guān)組成。其工作過程如下:按鍵開關(guān)K1K4組成甲、乙兩隊(duì)加減分控制。按鍵開關(guān)K1K4一端接地,另一端輸入與門74LS21以及單片機(jī)AT89C52的P3.5、P3.4、P0.2、P0.1。當(dāng)K1K4四個(gè)按鍵的任何一個(gè)按下時(shí),與門的8腳輸出都

34、會(huì)產(chǎn)生低電平使單片機(jī)發(fā)生中斷,同時(shí)與單片機(jī)相連的對(duì)應(yīng)端口也會(huì)產(chǎn)生一個(gè)低電平,從而使相應(yīng)LED顯示,其工作原理如圖3.3所示。3.3.2 比分交換控制的實(shí)現(xiàn)比分交換控制由圖3.2所示的K7鍵完成。因?yàn)楸确纸粨Q是在上半場(chǎng)賽程結(jié)束后進(jìn)行的,也就是說比分交換受賽程時(shí)間控制,只有當(dāng)上半場(chǎng)計(jì)時(shí)器指示為0000時(shí),按K7鍵,則會(huì)自動(dòng)交換甲、乙兩隊(duì)分?jǐn)?shù)。如果上半場(chǎng)賽程時(shí)間沒有到0000時(shí),則此時(shí)按下K7鍵,只會(huì)暫停比賽,不能交換分?jǐn)?shù)。如果要繼續(xù)賽程,再按一次K7即可。因此,K7鍵完成三重功能,即:啟動(dòng)、暫停、比分交換。3.3.3 比分刷新控制器由于在比賽中,甲、乙兩隊(duì)的比分是不斷變化的,所以需設(shè)置比分刷新控制

35、裝圖3.3 計(jì)分電路原理圖置;此部分功能由圖3.3所示的計(jì)分電路中的按鍵K1K4完成:K1鍵:完成甲隊(duì)加1分操作;K2鍵:完成甲隊(duì)減1分操作;K3鍵:完成乙隊(duì)加1分操作;K4鍵:完成乙隊(duì)減1分操作。3.3.4 比分校正控制電路比分校正控制電路由四輸入與門74LS21和4個(gè)按鍵組成,其中K1、K2鍵接74LS21的9、10腳,完成甲隊(duì)加、減分控制;K3、K4鍵接74LS21的12、13腳,完成乙隊(duì)加、減分控制。有關(guān)74LS21集成電路的引腳分布圖及內(nèi)部構(gòu)造如圖3.4所示。圖3.4 74LS21引腳分布圖74LS21芯片的主要控制引腳說明:14腳為電源腳。7腳接地。1、2、4、5、9、10、12、

36、13為與門輸入腳。6、8腳為與門輸出腳。3.4 報(bào)警電路設(shè)計(jì)本設(shè)計(jì)采用壓電蜂鳴器作為報(bào)警的音響器件,當(dāng)P3.6輸出高電平1時(shí),7406的輸出為低電平0,使壓電蜂鳴器引線獲得將近5V的直流電壓,而產(chǎn)生蜂鳴音。當(dāng)P3.6端輸出低電平0時(shí),7406的輸出端升高到約5V,壓電蜂鳴器兩引線間的直流電壓降至接近0V,發(fā)聲停止。其工作原理如圖3.5所示。圖3.5 7406驅(qū)動(dòng)報(bào)警電路當(dāng)比賽結(jié)束時(shí),系統(tǒng)會(huì)自動(dòng)發(fā)出10秒鐘報(bào)警聲,提示賽程結(jié)束。3.5 單片機(jī)的選擇單片機(jī)自從問世以來就一直是工業(yè)檢測(cè)、控制應(yīng)用的主角。市場(chǎng)上常用的單片機(jī)有Intel公司的MCS-51系列,日本松下公司的MN6800系列等。其中,MC

37、S-51由于單片機(jī)應(yīng)用系統(tǒng)具有體積小,可靠性高,功能強(qiáng),價(jià)格低等特點(diǎn),很容易作為產(chǎn)品進(jìn)行生產(chǎn)而更受青睞。然而作為本系統(tǒng)的核心元件,選擇哪一型號(hào)的MCS-51系列單片機(jī)顯得尤為關(guān)鍵,下面就逐個(gè)進(jìn)行比較:8031單片機(jī)片內(nèi)不帶程序存儲(chǔ)器ROM,使用時(shí)需外接程序存儲(chǔ)器和一片邏輯電路74LS373,外接的程序存儲(chǔ)器多為EPROM的2764系列。用戶若想對(duì)寫入到EPROM中的程序進(jìn)行修改,必須先用一種特殊的紫外線燈將其照射擦除,之后再可寫入。寫入到外接程序存儲(chǔ)器的程序代碼沒什么保密性可言。8051單片機(jī)片內(nèi)有4K ROM,無須外接存儲(chǔ)器和74LS373,更能體現(xiàn)“單片”的簡練。但是編的程序無法燒寫到其R

38、OM中,只有將程序交芯片廠代為燒寫,并是一次性的,今后都不能改寫其內(nèi)容。8751單片機(jī)與8051單片機(jī)基本一樣,但8751單片機(jī)片內(nèi)有4K的EPROM,用戶可以將自己編寫的程序?qū)懭雴纹瑱C(jī)的EPROM中進(jìn)行現(xiàn)場(chǎng)實(shí)驗(yàn)與應(yīng)用,EPROM的改寫同樣需要用紫外線照射一定時(shí)間擦除后再燒寫。89C51單片機(jī)為EPROM型,在實(shí)際電路中可以直接互換8051單片機(jī)或8751單片機(jī),不但和8051單片機(jī)指令,管腳完全兼容,而且其片內(nèi)的4K程序存儲(chǔ)器是FLASH工藝的。 89C52是一種低功耗高性能的具有8K字節(jié)可電氣燒錄及可擦除的程序ROM的八位CMOS單片機(jī)。該器件是用高密度、非易丟失存儲(chǔ)技術(shù)制造并且與國際工業(yè)

39、標(biāo)準(zhǔn)89C51單片機(jī)指令系統(tǒng)和引腳完全兼容。綜上所述,從使用方便與簡化電路以及其性價(jià)比等角度來考慮,89C52比較合適的。本系統(tǒng)采用CPU為89C52的單片微機(jī),89C52本身帶有8K的內(nèi)存儲(chǔ)器,可以在編程器上實(shí)現(xiàn)閃爍式的電擦寫達(dá)幾萬次以上,比以往慣用的8031CPU外加EPROM為核心的單片機(jī)系統(tǒng)在硬件上具有更加簡單、方便等優(yōu)點(diǎn),而且完全兼容MCS-51系列單片機(jī)的所有功能。89C52管腳圖如圖3.6所示,圖3.6 89C52管腳圖下面介紹89C52的主要管腳功能如下:VCC(40):電源+5V;VSS(20):接地;P0口(32-39):雙向I/O口,既可作低8位地址和8位數(shù)據(jù)總線使用,也

40、可作普通I/O口;P3口(10-17):多用途端口,既可作普通I/O口,也可按每位定義的第二功能操作;P2口(21-28):既可作高8位地址總線,也可作普通I/O口;P1口(1-8):準(zhǔn)雙向通用I/O口;RST(9):復(fù)位信號(hào)輸入端;ALE/PROG:地址鎖存信號(hào)輸出端;PSEN:內(nèi)外程序存儲(chǔ)器選擇線;XTAL1(19)和XTAL2(18):外接石英晶體振蕩器。MCS-51的CPU可包括運(yùn)算部件,控制器,程序狀態(tài)字,B寄存器,累加器Acc(或A),位處理器等。3.6 顯示電路的設(shè)計(jì)顯示器是最常用的輸出設(shè)備,其種類繁多,但在單片機(jī)系統(tǒng)設(shè)計(jì)中常用的是發(fā)光二極管顯示器(LED)和液晶顯示器(LCD)

41、兩種,由于這兩種顯示器結(jié)構(gòu)簡單,價(jià)格便宜,接口容易實(shí)現(xiàn),因而得到廣泛應(yīng)用。下面介紹發(fā)光二極顯示器(LED)的結(jié)構(gòu)、工作原理及其接口電路。(1)LED結(jié)構(gòu)與原理LED顯示器又稱為數(shù)碼管,它主要由8段發(fā)光二極管組成,如圖3.7(a)所示。圖3.7(a)中,a g為數(shù)字或字符顯示段,h段為小數(shù)點(diǎn)顯示,通過a g為7個(gè)發(fā)光段的不同組合,可以顯示09和AF共16個(gè)數(shù)字和字母。例如,當(dāng)a、b、g、e、d段亮?xí)r,顯示數(shù)字“2”,當(dāng)a、f、e、g段亮?xí)r,則顯示字母“F”。LED可以分為共陰極和共陽極兩種結(jié)構(gòu),如圖3.7(b)和(c)所示。圖3.7 LED顯示原理圖其中圖(b)為共陰極結(jié)構(gòu)。即把8個(gè)發(fā)光二極管陰

42、極連在一起。這時(shí)如果需要點(diǎn)亮a g中的任何一盞燈,則只需要在相應(yīng)端輸入高電平即可;輸入低電平則截止。比如我們現(xiàn)在要顯示數(shù)字“3”,則只要在對(duì)應(yīng)的a、b、c、d、g段送入高電平,在其他端送入低電平即可,點(diǎn)亮為“3”。圖(c)為共陽極結(jié)構(gòu)。其顯示端輸入低電平有效,高電平截止。(2)LED顯示器顯示方式點(diǎn)亮LED顯示器有兩種方式:一是靜態(tài)顯示;二是動(dòng)態(tài)顯示。(本設(shè)計(jì)采用靜態(tài)顯示)。所謂靜態(tài)顯示,就是當(dāng)顯示器顯示某一個(gè)字符時(shí),相應(yīng)的發(fā)光二極管恒定地導(dǎo)通或截止。如圖3.8所示為4位靜態(tài)LED顯示器電路。該電路每一位可單獨(dú)顯示。只要在要顯示的那位的段選線上保持段選碼電平,該位就能保持顯示相應(yīng)的顯示字符。這

43、種電路的優(yōu)點(diǎn)是:在同一瞬間可以顯示不同的字符;但缺點(diǎn)就是占用端口資源較多。從圖3.8可以看出,每位LED顯示器需單獨(dú)占用8根端口線。因而,在數(shù)據(jù)較多時(shí)往往不采用此種設(shè)計(jì),而是采用動(dòng)態(tài)顯示方式。圖3.8 4位靜態(tài)LED顯示器電路所謂動(dòng)態(tài)顯示,就是將要顯示的多位LED顯示器采用一8位的段選端口,然后采用動(dòng)態(tài)掃描方式點(diǎn)亮各位顯示器。在每一瞬間只使某一位顯示字符,在此瞬間,段選控制I/O口輸出相應(yīng)字符段選碼,而位選則控制I/O口在該顯示位送入選通電平,以保證該位顯示相應(yīng)字符。如此輪流,使每位分時(shí)顯示該位應(yīng)顯示的字符。如圖3.9所示為4位LED動(dòng)態(tài)顯示電路。圖3.9 4位動(dòng)態(tài)LED顯示器電路3.7 復(fù)位

44、電路的設(shè)計(jì)本系統(tǒng)的復(fù)位電路是采用上電復(fù)位的電路,是常用復(fù)位電路之一。上電自動(dòng)復(fù)位是在加電瞬間電容通過充電來實(shí)現(xiàn)的,其電路如圖3.10所示。在通電瞬間,電容C通過電阻R充電,RST端出現(xiàn)正脈沖,用以復(fù)位,只要電源Vcc的上升時(shí)間不超過1ms,就可以實(shí)現(xiàn)自動(dòng)上電復(fù)位,即接通電源就完成了系統(tǒng)的復(fù)位初始化。圖3.10 上電復(fù)位的電路第4章 籃球賽計(jì)時(shí)計(jì)分器的軟件設(shè)計(jì)軟件設(shè)計(jì)一般按下列步驟進(jìn)行:先分析系統(tǒng)對(duì)軟件的要求;然后在此基礎(chǔ)上進(jìn)行軟件總體設(shè)計(jì),包括程序整體結(jié)構(gòu)設(shè)計(jì)和對(duì)程序進(jìn)行模塊化設(shè)計(jì),模塊化設(shè)計(jì)即將程序劃分為若干個(gè)相對(duì)獨(dú)立的模塊;接著畫出每一個(gè)專用模塊的詳細(xì)流程圖,并選擇合適的語言編寫程序;最后

45、按照軟件總體設(shè)計(jì)時(shí)給出的結(jié)構(gòu)框圖,將各模塊連接成一個(gè)完整的程序。在主程序的設(shè)計(jì)中要合理地調(diào)用各模塊程序,特別注意各模塊的入口、出口及對(duì)硬件的資源占用情況。采用模塊化設(shè)計(jì)方法以后,依據(jù)系統(tǒng)的功能要求將軟件的初始化模塊、監(jiān)控模塊、計(jì)時(shí)模塊、計(jì)分模塊、24違例模塊、顯示模塊、延時(shí)模塊分別進(jìn)行設(shè)計(jì)和調(diào)試,然后把它們連接起來,進(jìn)行總調(diào)。 在劃分模塊時(shí)應(yīng)注意:每個(gè)模塊不宜太長,冗長復(fù)雜的設(shè)計(jì)不宜調(diào)用與運(yùn)行,所以通常編制20-50行的程序段較合適;應(yīng)使模塊之間相互獨(dú)立,盡可能限制模塊之間的信息交換,以利于模塊的調(diào)試;應(yīng)盡量利用已有并且熟悉的模塊。模塊化設(shè)計(jì)的優(yōu)點(diǎn)是:無論是硬件還是軟件,每一個(gè)模塊都相對(duì)獨(dú)立,

46、故能獨(dú)立地進(jìn)行設(shè)計(jì)、研制、調(diào)試和修改,從而使復(fù)雜的工作得以簡化。模塊之間的相互獨(dú)立也有助于研制任務(wù)的分解和設(shè)計(jì)人員之間的分工合作,這樣可提高工作效率和儀表的研制速度。上述各種研制調(diào)試完成之后,還需要將它們按一定的方法連接起來,才能構(gòu)成完整的儀表,以實(shí)現(xiàn)數(shù)據(jù)輸入、傳輸、處理和輸出等各種功能。軟件模塊的連接,一般是通過監(jiān)控主程序調(diào)用各種功能模塊,或采用中斷的方法實(shí)時(shí)地執(zhí)行相應(yīng)的服務(wù)模塊來實(shí)現(xiàn),并且按功能層次繼續(xù)調(diào)用下一級(jí)模塊。模塊之間的聯(lián)系是由數(shù)據(jù)接口(數(shù)據(jù)緩沖器和標(biāo)志狀態(tài))來完成的。4.1 監(jiān)控程序設(shè)計(jì)整個(gè)設(shè)計(jì)既要包含實(shí)現(xiàn)任務(wù)要求的各種功能程序,也要有可以監(jiān)控儀器儀表正常工作,保證其可靠性方面的

47、監(jiān)控程序。整個(gè)儀器的測(cè)量都是自動(dòng)完成的,所以設(shè)計(jì)一套功能完備的監(jiān)控程序是必須的也是必要的。監(jiān)控程序的主要作用是實(shí)時(shí)的響應(yīng)來自系統(tǒng)的各種信息,按信息的類別進(jìn)行處理;當(dāng)系統(tǒng)出現(xiàn)故障時(shí),能自動(dòng)的采取有效的措施,消除故障,保證系統(tǒng)能夠繼續(xù)進(jìn)行正常工作。4.2 計(jì)時(shí)顯示子程序設(shè)計(jì)計(jì)時(shí)顯示子程序主要由硬件譯碼器CD4511以及LED來完成。本設(shè)計(jì)定義P2.0P2.3為片選端口,定義P1.0P1.3為數(shù)據(jù)端口,顯示數(shù)據(jù)的代碼以P1口的P1.0P1.3送到CD4511的輸入端AD端,送完后,將LE清零。這時(shí)便可以將要顯示數(shù)據(jù)的代碼經(jīng)CD4511譯碼后,從輸出端ag輸出,送LED顯示器顯示。計(jì)時(shí)顯示子程序流程圖

48、如圖4.1所示:圖4.1 計(jì)時(shí)顯示子程序流程圖根據(jù)上述流程圖編寫程序如下:*一秒鐘到了,時(shí)間減1秒*CJNE A,#00H,N0 ;秒鐘個(gè)位回到0沒有MOV 43H,#09H ;秒鐘個(gè)位送初值9 AJMP N1N0: DEC 43H AJMP NEXTN1: MOV A,42H CJNE A,#00H,LP3 ;秒鐘十位回到0沒有 MOV 42H,#05H ;秒鐘十位送初值5 MOV A,41H CJNE A,#00H,LP2 ;分種個(gè)位回到0沒有 MOV 41H,#09H ;分鐘個(gè)位送初值9 MOV A,40H CJNE A,#00H,LP1 ;分鐘十位回到0沒有 MOV 41H,#00H

49、CLR P0.0 SETB P3.6 ;時(shí)間到發(fā)出10秒鐘警報(bào) LCALL D10S CLR P3.6LP3: DEC 42H ;將秒鐘十位減1 AJMP NEXTLP1: DEC 40H ;將分鐘十位減1 AJMP NEXTLP2: DEC 41H ;將分鐘個(gè)位減1 AJMP NEXT*顯示賽程時(shí)間,24秒違例時(shí)間*NEXT:CLR P2.3 ;顯示時(shí)間 MOV P1,43H ;顯示秒鐘個(gè)位 NOP NOP SETB P2.3 CLR P2.2 ;顯示秒鐘十位 MOV P1,42H NOP NOP SETB P2.2 CLR P2.1 ;顯示分鐘個(gè)位 MOV P1,41H NOP NOP S

50、ETB P2.1 CLR P2.0 ;顯示分鐘十位 MOV P1,40H NOP NOP SETB P2.0 CLR P0.6 ;顯示違例秒鐘十位 MOV P1,44H NOP NOP SETB P0.6 CLR P0.7 ;顯示違例秒鐘個(gè)位 MOV P1,45H NOP NOP SETB P0.74.3 計(jì)分顯示子程序設(shè)計(jì)計(jì)分電路主要由串/并轉(zhuǎn)換芯片CD4094、LED顯示器以及74LS21組成。當(dāng)有按鍵按下時(shí),使其外部中斷INT0發(fā)生中斷,從而使相應(yīng)LED顯示。因?yàn)榘存I開關(guān)按下時(shí)為低電平。例如:現(xiàn)在以甲隊(duì)加分為例,來說明整個(gè)過程。假設(shè)比賽剛開始,雙方比分為000 000,某一時(shí)刻后,當(dāng)甲隊(duì)

51、加分時(shí),則安下K1,這時(shí)K1=0(低電平),其余K2K3 K4=111(為高電平),K1K2K3K4相與的結(jié)果為低電平,這時(shí)與門8腳輸出低電平到單片機(jī)AT89C52的P3.3腳,使其外部中斷INT1發(fā)生中斷,從而調(diào)用中斷服務(wù)程序,將要顯示的數(shù)據(jù)從程序中定義的LED顯示常數(shù)表TAB中取出數(shù)據(jù)06H(因?yàn)長ED顯示常數(shù)表TAB的偏移地址為33H,33H首先是指向LED顯示常數(shù)表TAB中第一個(gè)數(shù)據(jù)3FH的,當(dāng)K3按下時(shí),相當(dāng)于將33H地址加1,這時(shí)便指向第二數(shù)據(jù)06H)經(jīng)串行發(fā)送端P3.0送至串/并轉(zhuǎn)換器CD4094的輸入端第2腳(數(shù)據(jù)輸入DATA)。由于串行口的工作方式設(shè)置為方式0。所以在串行數(shù)據(jù)

52、通過RXD引腳輸出時(shí),則TXD引腳會(huì)輸出多位時(shí)鐘作為移位脈沖。將8位數(shù)據(jù)順利送到CD4094中。另外在RXD引腳輸出數(shù)據(jù)的同進(jìn),單片機(jī)P3.7腳輸出高電平給CD4094的第1腳STR(使能控制),使前一片CD4094中的8位數(shù)據(jù)從QS移位至下一片CD4094輸入端的第2腳。在RXE引腳輸出數(shù)據(jù)過程中,連續(xù)使單片機(jī)P3.7腳輸出6次高電平,這樣便使6片CD4094中得到不同的顯示代碼。然后使單片機(jī)P3.7腳輸出低電平,將6片CD4094中顯示代碼送LED顯示器顯示,便得到顯示為 000 001。因?yàn)?,在程序中定義33H、34H、35H、36H、37H、38H,6地址單元,分別對(duì)應(yīng)甲隊(duì)、乙隊(duì)3個(gè)L

53、ED顯示器在程序中定義的LED顯示常數(shù)表TAB中的偏移首地址。單片機(jī)的RXD每次發(fā)送6個(gè)數(shù)據(jù),分別對(duì)應(yīng)以33H、34H、35H、36H、37H、38H為偏移首地址單元里的數(shù)據(jù)。例如:甲隊(duì)加分表示以33H為偏移首地址的單元加1,指向第二個(gè)數(shù)據(jù)06H,其他以34H、35H、36H、37H、38H為偏移首地址單元里的數(shù)據(jù)仍然指向第一個(gè)數(shù)據(jù)3FH,這樣將這6個(gè)數(shù)據(jù):06H、3FH、3FH、3FH、3FH、3FH經(jīng)單片機(jī)的RXD發(fā)送出去,再在單片機(jī)P3.7腳輸出的6次高電平作用下產(chǎn)生6次移位,便在6片CD4094中得到顯示代碼:3FH、3FH、3FH、3FH、3FH、06H。然后在P3.7=0顯示為:0

54、00 001。以甲隊(duì)加分為例,計(jì)分顯示子程序流程圖如圖4.2所示。圖4.2 計(jì)分顯示子程序流程圖根據(jù)上述流程圖編寫程序如下:*KP1: INC 36H ;甲隊(duì)+1程序 MOV A,36H CJNE A,#0AH,KP5 MOV 36H,#00H INC 37H MOV A,37H CJNE A,#0AH,KP5 MOV 37H,#00H INC 38H*顯示分?jǐn)?shù)*KP5: CLR P3.7 ;顯示分?jǐn)?shù) MOV R1,#33H MOV R2,#06HLP6: MOV A,R1 MOV DPTR,#TAB ;查找顯示數(shù)據(jù) MOVC A,A+DPTR MOV SBUF,A ;通過串行口發(fā)送顯示 JN

55、B TI,$ ;串行口是否發(fā)送完畢 CLR TI ;串行口標(biāo)志清0 INC R1 DJNZ R2,LP6 ;6次是否發(fā)送完畢 SETB P3.7 4.4 LED顯示子程序設(shè)計(jì)顯示子程序是字符顯示,通過單片機(jī)的串口與移位寄存器相連,然后輸出寫顯示命令。在顯示過程中一定要調(diào)用延時(shí)子程序,其任務(wù)是將處理數(shù)據(jù)的結(jié)果送顯示器顯示。通過對(duì)LED顯示子程序的編寫、鏈接、調(diào)試等能夠完成時(shí)間、分?jǐn)?shù)的顯示。8段LED共陰極常用字型碼及其對(duì)應(yīng)的顯示字符見下表4.1所示:表4.3 8段LED共陰極常用字型碼顯示字符共陰極段碼共陽極段碼顯示字符共陰極段碼共陽極段碼03FHC0H87FH80H106HF9H96FH90H25BHA4HA77H88H34FHB0HB7CH83H466H99HC39HC6H56DH92HD5EHA1H67DH82HE79H86H707HF8HF71H8EH第5章 籃球賽計(jì)時(shí)計(jì)分器的整體調(diào)試調(diào)試的任務(wù)主要包括硬件調(diào)試、軟件調(diào)試和整體聯(lián)調(diào)。由于硬件和軟件的研制是相對(duì)獨(dú)立進(jìn)行的,因此軟件調(diào)試是在硬件完成之前,而硬件也是在無完整軟件情況下進(jìn)行調(diào)試的。在調(diào)試中找出缺陷,判斷故障源,對(duì)硬、軟件做出修改,反復(fù)進(jìn)行這一過程,直至確信沒有錯(cuò)誤之后,接入單片機(jī)進(jìn)行整機(jī)聯(lián)調(diào)。5.1 調(diào)試分析軟件的調(diào)試和硬件的調(diào)試都是獨(dú)立進(jìn)行的,軟件部分包括計(jì)時(shí)顯示子程序、計(jì)分顯示子程序、24秒違例報(bào)

展開閱讀全文
溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

相關(guān)資源

更多
正為您匹配相似的精品文檔
關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!