歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)位電路設(shè)計(jì)實(shí)習(xí)室簡(jiǎn)報(bào).ppt

  • 資源ID:119772348       資源大?。?span id="0zeokj5" class="font-tahoma">307.31KB        全文頁(yè)數(shù):14頁(yè)
  • 資源格式: PPT        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

數(shù)位電路設(shè)計(jì)實(shí)習(xí)室簡(jiǎn)報(bào).ppt

數(shù)位電路設(shè)計(jì)實(shí)習(xí)室簡(jiǎn)報(bào),報(bào)告者: 電機(jī)工程學(xué)系 劉 文 頡,大 綱,提供教學(xué)課程 課程流程 教學(xué)目標(biāo) 數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí)課程內(nèi)容 數(shù)位電路設(shè)計(jì)實(shí)習(xí)課程內(nèi)容 實(shí)驗(yàn)室特色 實(shí)驗(yàn)室中長(zhǎng)程發(fā)展計(jì)劃,提供教學(xué)課程,數(shù)位系統(tǒng)設(shè)計(jì) 數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí) 數(shù)位電路設(shè)計(jì)實(shí)習(xí) 電腦輔助電路設(shè)計(jì),本實(shí)習(xí)室提供工程學(xué)群師生在數(shù)位系統(tǒng)的設(shè)計(jì)與驗(yàn)證環(huán)境。數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí)提供了解數(shù)位系統(tǒng)的設(shè)計(jì)過(guò)程與驗(yàn)證。數(shù)位電路設(shè)計(jì)實(shí)習(xí)教授使用VHDL硬體描述語(yǔ)言進(jìn)行邏輯電路的設(shè)計(jì)、編譯、模擬、與燒錄,使用C P L D/ F P G A晶片快速實(shí)現(xiàn)系統(tǒng)原型,培養(yǎng)學(xué)生電路設(shè)計(jì)基礎(chǔ),進(jìn)入晶片設(shè)計(jì)與應(yīng)用的領(lǐng)域。,提供教學(xué)課程,課程流程,數(shù)位系統(tǒng)設(shè)計(jì) 3/3,數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí) 1/3,CPLD/FPGA數(shù)位電路設(shè)計(jì) 3/3,電機(jī)專題製作 1/3,微處理機(jī) 3/3,數(shù)位積體電路設(shè)計(jì) 3/3,介面原理與應(yīng)用 3/3,先修課程,應(yīng)用課程,教學(xué)目標(biāo),透過(guò)課程實(shí)習(xí),使學(xué)生了解數(shù)位電路的基本原理、設(shè)計(jì)、與應(yīng)用,具備各種數(shù)位元件及數(shù)位電路之基本知識(shí)。 使學(xué)生養(yǎng)成分析數(shù)位電路的能力。 使學(xué)生能熟悉硬體描述語(yǔ)言(VHDL)的格式與語(yǔ)法,並進(jìn)行功能模擬驗(yàn)證、下載、與燒錄。 培養(yǎng)學(xué)生融合學(xué)科知識(shí),設(shè)計(jì)合適的數(shù)位模組,體認(rèn)做中學(xué)的實(shí)務(wù)觀念。 培養(yǎng)學(xué)生應(yīng)用電路的設(shè)計(jì)與製作能力,具備FPGA晶片應(yīng)用的能力。,數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí)課程內(nèi)容,基本邏輯閘認(rèn)識(shí)與組合邏輯電路實(shí)驗(yàn) AOI實(shí)習(xí)與邏輯閘互相取代 布林代數(shù)與邏輯閘簡(jiǎn)化實(shí)驗(yàn) 加法器,減法器,乘法器實(shí)驗(yàn) 比較器與數(shù)碼轉(zhuǎn)換實(shí)驗(yàn) 編碼器與解碼器實(shí)驗(yàn) 多工器與解多工器實(shí)驗(yàn),數(shù)位系統(tǒng)設(shè)計(jì)實(shí)習(xí)課程內(nèi)容,顯示電路設(shè)計(jì)實(shí)驗(yàn) 閂鎖器與SR,JK,D,T型正反器實(shí)驗(yàn) 上數(shù)與下數(shù)計(jì)數(shù)器設(shè)計(jì) 漣波計(jì)數(shù)器,N-Mode計(jì)數(shù)器設(shè)計(jì) 同步計(jì)數(shù)器設(shè)計(jì) 移位暫存器實(shí)驗(yàn) RAM與ROM記憶體實(shí)驗(yàn),數(shù)位電路設(shè)計(jì)實(shí)習(xí)課程內(nèi)容,CPLD/FPGA發(fā)展環(huán)境介紹 基本邏輯 組合邏輯電路 解碼器、編碼器、多工器、解多工器 算術(shù)邏輯電路設(shè)計(jì) 半加器、全加器、減法器、乘法器 數(shù)碼轉(zhuǎn)換電路設(shè)計(jì) 七段顯示器控制電路設(shè)計(jì) 閂鎖與正反器 緩衝暫存器、移位暫存器、與旋轉(zhuǎn)暫存器,數(shù)位電路設(shè)計(jì)實(shí)習(xí)課程內(nèi)容,非同步計(jì)數(shù)器設(shè)計(jì) 上下數(shù)漣波計(jì)數(shù)器、 模數(shù)N計(jì)數(shù)器 同步計(jì)數(shù)器設(shè)計(jì) 上數(shù)、下數(shù)、上下數(shù)計(jì)數(shù)器 計(jì)時(shí)處理 上數(shù)除頻電路、下數(shù)除頻電路 程序處理與狀態(tài)機(jī)電路設(shè)計(jì) Moore狀態(tài)機(jī)、Mealy狀態(tài)機(jī)設(shè)計(jì) 模組、元件、與階層式設(shè)計(jì) LCD顯示電路 電子鐘 十字路口紅綠燈控制,實(shí)驗(yàn)室特色,透過(guò)廣播系統(tǒng)進(jìn)行實(shí)驗(yàn)原理解說(shuō)與實(shí)驗(yàn)示範(fàn)操作,學(xué)生循序漸進(jìn)學(xué)習(xí)。 引導(dǎo)學(xué)生從入門到熟悉VHDL的各種設(shè)計(jì)語(yǔ)法及設(shè)計(jì)模式,由設(shè)計(jì)、編譯、功能模擬、燒錄、到測(cè)試都由學(xué)生自行完成。希望學(xué)生使用基本觀念來(lái)建構(gòu)有用的數(shù)位系統(tǒng)模型,以加強(qiáng)學(xué)生的學(xué)習(xí)能力,並激發(fā)創(chuàng)新的設(shè)計(jì)思維。 開發(fā)系統(tǒng)全部視窗化,簡(jiǎn)化電路設(shè)計(jì)過(guò)程,快速發(fā)展電路。,實(shí)驗(yàn)室特色,同時(shí)提供兩種下載板(CPLD/FPGA),共用一個(gè)I/O實(shí)驗(yàn)板,CPLD/FPGA 晶片接腳任意設(shè)定。 多電路結(jié)構(gòu)多項(xiàng)燒錄,提供串列SCI及SEEPROM,可直接在電路板上將多個(gè)電路結(jié)構(gòu)直接線上燒錄。 可搭配單晶片89C52(8051族系)。,實(shí)驗(yàn)室中長(zhǎng)程發(fā)展計(jì)劃,配合實(shí)驗(yàn)課程,積極擴(kuò)充實(shí)習(xí)項(xiàng)目,提供學(xué)生便捷的學(xué)習(xí)環(huán)境,進(jìn)入晶片設(shè)計(jì)領(lǐng)域。 擴(kuò)充CPLD/FPGA數(shù)位邏輯設(shè)計(jì)實(shí)驗(yàn)器硬體。 增購(gòu)實(shí)驗(yàn)?zāi)=M,實(shí)習(xí)內(nèi)容多樣化: 步進(jìn)馬達(dá)系統(tǒng) 溫度控制系統(tǒng) 學(xué)生應(yīng)用電路設(shè)計(jì)轉(zhuǎn)接板 增購(gòu)IC燒錄器,數(shù)位式示波器。 增購(gòu)廣播與監(jiān)控軟體,提昇教學(xué)品質(zhì)。,中程目標(biāo),實(shí)驗(yàn)室中長(zhǎng)程發(fā)展計(jì)劃,配合國(guó)家半導(dǎo)體科技產(chǎn)業(yè)發(fā)展,積極培養(yǎng)基礎(chǔ)晶片設(shè)計(jì)人才。 規(guī)劃超大型積體電路課程,進(jìn)行VLSI設(shè)計(jì)。 推動(dòng)與科技業(yè)者交流,提供實(shí)習(xí)課程規(guī)劃與人才訓(xùn)練。 整合本系現(xiàn)有的研究領(lǐng)域,研擬適當(dāng)研發(fā)項(xiàng)目。 推動(dòng)產(chǎn)學(xué)合作計(jì)劃,建立區(qū)域型的研發(fā)設(shè)計(jì)中心。,長(zhǎng)程目標(biāo),

注意事項(xiàng)

本文(數(shù)位電路設(shè)計(jì)實(shí)習(xí)室簡(jiǎn)報(bào).ppt)為本站會(huì)員(good****022)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!