歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《單片機(jī)原理及應(yīng)用》期末課程設(shè)計(jì):基于單片機(jī)的公交車報(bào)站器設(shè)計(jì)

  • 資源ID:28121012       資源大?。?span id="pynnjbl" class="font-tahoma">218.01KB        全文頁數(shù):18頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《單片機(jī)原理及應(yīng)用》期末課程設(shè)計(jì):基于單片機(jī)的公交車報(bào)站器設(shè)計(jì)

數(shù)理與信息工程學(xué)院單片機(jī)原理及應(yīng)用期末課程設(shè)計(jì)基于單片機(jī)的公交車報(bào)站器設(shè)計(jì)目 錄第1節(jié) 引 言11.1 公交車報(bào)站器設(shè)計(jì)概述11.2 SPCE061A單片機(jī)簡介11.3 外擴(kuò)存儲器芯片簡介11.4 系統(tǒng)實(shí)現(xiàn)的主要功能21.5 自動(dòng)報(bào)站功能實(shí)現(xiàn)方案2第2節(jié) 系統(tǒng)主要硬件電路設(shè)計(jì)32.1 硬件框圖32.2 主控板電路模塊32.2.1 單片機(jī)工作電路及語音輸出電路32.2.2 電源電路模塊52.2.3 存儲器工作電路及下載線接口電路52.2.4 鍵盤顯示電路模塊62.2.5 無線收發(fā)電路模塊6第3節(jié) 系統(tǒng)軟件設(shè)計(jì)73.1 系統(tǒng)主程序設(shè)計(jì)73.2 錄音子程序設(shè)計(jì)133.3 動(dòng)態(tài)掃描顯示子程序設(shè)計(jì)15第4節(jié) 結(jié)束語16參考文獻(xiàn)17基于單片機(jī)的公交車報(bào)站器設(shè)計(jì)數(shù)理與信息工程學(xué)院 08計(jì)算機(jī)專升本 方莎娜指導(dǎo)教師:余水寶 第1節(jié) 引 言隨著城市交通的快速發(fā)展,公交汽車為外出的人們提供了方便快捷的服務(wù)。而公交汽車的報(bào)站共識直接影響其服務(wù)的質(zhì)量。傳統(tǒng)報(bào)站方式是由乘務(wù)人員進(jìn)行人工報(bào)站,該方式因其效果太差和工作程度太大,在很多大城市已經(jīng)被淘汰。近年來,微機(jī)技術(shù)與各種語音芯片相結(jié)合,即可完成語音的合成技術(shù),使得公交車報(bào)站器的實(shí)現(xiàn)成為可能,從而為市民提供了更加人性化得服務(wù)。該公交車報(bào)站器的系統(tǒng)設(shè)計(jì)原理,提出了以61單片機(jī)為核心,控制SPR4096語音芯片電路以及LED數(shù)碼管顯示的硬件設(shè)計(jì)和軟件設(shè)計(jì)方案。該系統(tǒng)語音音質(zhì)好,功能強(qiáng),是提供公交服務(wù)的一種較好的手段。1.1 公交車報(bào)站器設(shè)計(jì)概述集成有錄音和語音提示功能的智能電子設(shè)備已經(jīng)得到越來越多廣泛的應(yīng)用,比如電話的自動(dòng)語音留言和提示功能、汽車的倒車提示、公交車和城市地鐵的語音報(bào)站器、兒童玩具、智能門鈴、節(jié)日禮品等等都集成有語音錄放功能,目前實(shí)現(xiàn)語音錄放功能一般都是通過集成語音芯片來實(shí)現(xiàn)的。該設(shè)計(jì)就選用SPCE061A單片機(jī),SPCE061A單片機(jī)是凌陽科技公司研發(fā)生產(chǎn)的一款性價(jià)比很高的十六位單片機(jī),使用它可以非常方便靈活的實(shí)現(xiàn)語音的錄放。由于凌陽單片機(jī)強(qiáng)大的語音處理能力使得系統(tǒng)設(shè)計(jì)有所簡化,外圍電路較少;另外具有易學(xué)易用的效率較高的一套指令系統(tǒng)和集成開發(fā)環(huán)境。在此環(huán)境中,支持環(huán)境C語言,可以實(shí)現(xiàn)C語言與凌陽匯編語言的互相調(diào)用,并且提供了語音錄放的庫函數(shù),只要了解庫函數(shù)的使用,就會很容易完成語音錄放,這些都為軟件開發(fā)提供了方便的條件。1.2 SPCE061A單片機(jī)簡介SPCE061A是凌陽科技公司研發(fā)的性價(jià)比很高的一款十六位單片機(jī),使用它可以非常方便靈活的實(shí)現(xiàn)語音的錄放。該芯片擁有8路10位精度的ADC,其中一路為音頻轉(zhuǎn)換通道,并且內(nèi)置有自動(dòng)增益電路。這為實(shí)現(xiàn)語音錄入提供了方便的硬件條件。兩路10位精度的DAC,只需要外接功放SPY0030A即可完成語音的播放1.3 外擴(kuò)存儲器芯片簡介SPR4096芯片是一款性價(jià)比較高的512K*8位的高性能FLASH存儲器,同時(shí)內(nèi)嵌4K*8位的SRAM。具有BMI(Bus Memory Interface)接口與SI(Serial Interface)接口。SPR4096提供兩種電源供電VDDI與VDDQ。VDDI供電范圍在2.25V到2.75V,是對內(nèi)部的FLASH與邏輯控制器供電。VDDQ供電范圍在2.25V到3.6V,只對I/O口供電。SPR4096的最大工作頻率為5.0MHZ,最大讀取電流為2mA,最大擦除、燒錄電流為6mA。語音存儲器SPCE061A鍵盤電路語音輸出電路顯示電路圖1-1 設(shè)計(jì)方案圖1.4 系統(tǒng)實(shí)現(xiàn)的主要功能本設(shè)計(jì)完成的公交車報(bào)站器主要具有以下功能。 可以設(shè)置上、下行路線。 可以顯示當(dāng)前站數(shù)、時(shí)間和日期,并能進(jìn)行對時(shí)間/日期設(shè)置。 具有手動(dòng)報(bào)站、自動(dòng)報(bào)站兩種哦那個(gè)功能。 備有下載口,用戶可直接下載更新站名和站數(shù),更新快捷方便1.5 自動(dòng)報(bào)站功能實(shí)現(xiàn)方案自動(dòng)報(bào)站是目前公交車報(bào)站器重要的發(fā)展方向之一,在此也考慮加入自動(dòng)報(bào)站功能。目前實(shí)現(xiàn)自動(dòng)報(bào)站功能有多種方式,在此選擇無線收發(fā)電路來實(shí)現(xiàn)。該方式應(yīng)用簡單,報(bào)站準(zhǔn)確。第2節(jié) 系統(tǒng)主要硬件電路設(shè)計(jì)2.1 硬件框圖本報(bào)站器以SPCE061A單片機(jī)為核心,劃分為鍵盤輸入、數(shù)碼管顯示、SPR4096存儲、語音播放和無線收發(fā)電路幾大部分,硬件框圖如下圖所示。SPCE061A是整個(gè)設(shè)計(jì)的核心,主要負(fù)責(zé)控制數(shù)碼管界面輸出,鍵盤信息和無線信號的處理。LED顯示鍵盤模塊主要起人機(jī)界面的作用,顯示報(bào)站器的信息,同時(shí)向操作者提供操作界面。無線收發(fā)電路的接入實(shí)現(xiàn)自動(dòng)報(bào)站功能,從而無需人工操作。日期/時(shí)間顯示鍵盤輸入站牌無線發(fā)射模塊存儲語音資源tianxian SPCE061A語音播報(bào)無線發(fā)射模塊圖2-1 單片機(jī)原理框圖2.2 主控板電路模塊主控板電路包括:單片機(jī)工作電路、電源電路、語音輸出電路、存儲器電路、下載線接口電路和近距離無線收發(fā)電路。2.2.1 單片機(jī)工作電路及語音輸出電路SPCE061A單片機(jī)的最小應(yīng)用系統(tǒng)構(gòu)成非常簡單,只需在OSCO、OSCI端接石英晶體振蕩器及諧振電容,在復(fù)位端接復(fù)位電路,在鎖相環(huán)壓控振蕩器的阻容輸入VCP端接上相應(yīng)的電容、電阻后即可工作。其它不用的電源端和接地端可接上104pF小電容和100F的去耦電容,以提高抗干擾能力。另外SPCE061A內(nèi)置2路10位精度的DAC,只需要外接功放電路即可完成語音的播放,可以直接聽到喇叭輸出的聲音。圖中的音頻功放SPY0030也是凌陽公司的產(chǎn)品。SPY0030僅需2.4V即可工作,其輸出功率約700mW。IOA0-IOA1IOB0-IOB1VDD VCPRESB SPCE061ADAC1 VSSDAC2 OSCI OSCO圖2-2 單片機(jī)工作及語音輸出電路圖該部分程序主要完成放音操作,把獲得的放音內(nèi)容的地址送到SPCE061A中,完成放音。下面給出的就是放音部分程序。放音子程序:PLAY:ACALL POWERUP ;上電子程序ACALL DELAY25 ;延遲子程序,至少延遲25msCLR P1.6 ;選中ISD4004MOV PLAY2,#11100000B ;存放SETPLAY命令MOV A,PLAY0 ;送放音地址低8位ACALL SEND ;調(diào)用送地址子程序 MOV A,PLAY1 ;送放音地址高8位ACALL SEND MOV A,PLAY2 ;送SETPLAY命令A(yù)CALL SENDSETB P1.6CLR P1.6MOV PLAYING,#11110000B ;送入放音指令MOV A PLAYINGACALL SENDSETB P1.6RETPOWERUP: ;送上電指令子程序MOV POWING,#00100000B ;送入語音芯片上電信號MOV A,POWUPINGCLR P1.6ACALL SENDSETB P1.6RETSEND: ;向ISD4004送指令,地址等的子程序CLR MOSICLR P3.2MOV R1,#8OUTBIT1:CLR P3.2 ;時(shí)鐘下降RRC AMOV MOSI,C ;輸出1位NOPNOPNOPSETB P3.2 ;時(shí)鐘上升沿到NOPNOPNOPDJNZ R1,OUTBIT1RET2.2.2 電源電路模塊61單片機(jī)的內(nèi)核工作電壓是3.3V,而I/O口電壓可以是3.3V也可以是5V。車載12V直流電壓經(jīng)過7805產(chǎn)生5V電壓再經(jīng)過SPY0029后產(chǎn)生3.3V電壓分別給系統(tǒng)供電。2.2.3 存儲器工作電路及下載線接口電路下載口電路可單獨(dú)對語音資源進(jìn)行燒寫,整機(jī)工作時(shí)通過SCK與SDA與單片機(jī)的IOB0和IOB1口相連,其中SPR4096的CF0CF2接高電平,選擇串行接口模式;CF7接低電平,選中FLASH。下載線接口電路主要起到控制SCK、SDA的作用,完成對芯片的燒寫。2.2.4 鍵盤顯示電路模塊 a-g 、DP_PSEG接口DIG接口1*7KEY接口6位數(shù)碼管ULN2003A按鍵該模塊提供人機(jī)界面作用,通過段碼SGE、位選DIG和1*7KEY接口與主控板連接。主要用于顯示時(shí)間/日期、站數(shù)和提供設(shè)置操作界面。其結(jié)構(gòu)框圖如下所示。圖2-3 鍵盤模塊結(jié)構(gòu)框圖2.2.5 無線收發(fā)電路模塊公交車報(bào)站器自動(dòng)報(bào)站功能可以有效的減輕乘務(wù)人員的負(fù)擔(dān)并能防止誤操作,具有很強(qiáng)的應(yīng)用價(jià)值。目前采用的方法有很多,如GPS、門控自動(dòng)報(bào)站等方式,這里我們采用無線收發(fā)電路實(shí)現(xiàn)。收發(fā)電路由無線發(fā)射芯片TX-2B和無線接收芯片RX-2B組成。無線發(fā)射電路安放在車站站牌里,當(dāng)公交車行駛到靠近站臺時(shí),報(bào)站器接收到無線電信號就會自動(dòng)播報(bào)當(dāng)前車站站名,實(shí)現(xiàn)自動(dòng)報(bào)站。一片TX-2B可實(shí)現(xiàn)5路獨(dú)立的無線電發(fā)射,若將多片TX-2B與多片RX-2B并聯(lián)應(yīng)用,僅需改變相配套的TX-2B/RX-2B電路外接ROSC的阻值,進(jìn)而改變其基準(zhǔn)頻率,即可方便地實(shí)現(xiàn)10路、15路、20路甚至更多路的無線發(fā)射,這樣就可以實(shí)現(xiàn)一個(gè)站臺多路公交車自動(dòng)報(bào)站的需要。第3節(jié) 系統(tǒng)的軟件設(shè)計(jì)同硬件設(shè)計(jì)一樣,軟件設(shè)計(jì)也是分模塊進(jìn)行的。主要包括系統(tǒng)主程序、錄音子程序模塊、鍵盤掃描程序模塊、時(shí)間/日期調(diào)整程序、動(dòng)態(tài)掃描顯示子程序模塊等。各部分程序由主程序調(diào)用,組成一個(gè)整體。這里不一一舉例,就說重點(diǎn)說系統(tǒng)主程序、錄音子程序和動(dòng)態(tài)掃描顯示子程序。3.1 系統(tǒng)主程序設(shè)計(jì)圖3-1是系統(tǒng)總流程圖。首先需要進(jìn)行系統(tǒng)初始化設(shè)置,初始化后就進(jìn)入循環(huán)。在這里通過判斷相應(yīng)的鍵值來進(jìn)入各子程序。開始系統(tǒng)IO端口初始化系統(tǒng)時(shí)鐘頻率初始化為49MHZ開啟2HZ、1KHZ、TimeA、外部中斷2中斷判斷按鍵是否按下?鍵值iKey>0x1000?轉(zhuǎn)到報(bào)站子功能轉(zhuǎn)到時(shí)間/日期調(diào)整子功能 N YN Y圖3-1是系統(tǒng)總流程圖系統(tǒng)程序清單:SCL EQU P2.0DATA EQU P2.1RST EQU P2.2ORG 0000HLJMP MAIN ;轉(zhuǎn)主程序ORG 0003H ;外部中斷0中斷服務(wù)程序入口地址LJMP INTA ;轉(zhuǎn)外部中斷0中斷程序入口地址ORG 000BH ;T0定時(shí)中斷服務(wù)程序入口地址LJMP T0-SEVORG 0013H ;外部中斷1中斷服務(wù)程序入口地址LJMP INTB ;轉(zhuǎn)外部中斷1中斷服務(wù)程序ORG 001BH ;T1定時(shí)中斷服務(wù)程序入口地址LJMP T1_SEV ;轉(zhuǎn)T1中斷服務(wù)程序ORG 0030H ;設(shè)置主程序起始地址=0030HMAIN:MOV SP,#60H ;設(shè)置堆棧指針MOV R7,#10H ;系統(tǒng)初始化MOV R0,#30HMOV A,#0MOV R0,AINC R0DJNZ R7,LOPMOV 35H,#01H ;設(shè)置上電后的初始和日期MOV 36H,#01H MOV 37H,#06HMOV 38H,#00HMOV 3CH,33HMOV 3DH,34HMOV 3EH,#01HMOV 44H,#10H ;LED位選擇碼MOV 45H,#20HMOV 46H,#40HMOV 47H,#80HCLR RS1CLR RS0MOV R5,#00HMOV R6,#00HMOV R7,#06HLCALL SET1302SETB RS1CLR RS0MOV R0,#4AHMOV TMOD,#10H ;設(shè)置T0 T1工作方式;定時(shí)器,工作方式1MOV TH1,#3CHMOV TL1,#0B0H ;T1計(jì)數(shù)初值=3CB0HMOV TH0,#40HMOV TL0,#098HMOV IP,#08H ;設(shè)置中斷優(yōu)先級MOV TCON,#40H ;啟動(dòng)定時(shí)器T1MOV IE,#8DH ;開中斷LOP:LCALL CHANGE ;當(dāng)前時(shí)間送顯示緩沖區(qū)LCALL DISPLAY ;顯示當(dāng)前時(shí)間SETB RS1CLR RS0MOV 3AH,R0INC R0MOV 3BH,RO ;判斷設(shè)置定時(shí)時(shí)間到否?MOV A,3AHCJNE A,#0,NTMOV A,3BH ;取當(dāng)前時(shí)間與定時(shí)時(shí)間比較CJNE A,#0,NT ;判斷定時(shí)時(shí)間到否?NTM:INC R0INT R0CJNE R0,#59H,LOPMOV R0,#4AHSJMP BBXNT:MOV A,3BHCJNE A,34H,NTMMOV A,3AHCJNE A,33H,NTMDEC R0DEC R0MOV 39H,R0INC R0INC R0INC R0INC R0CJNE R0,#59H,NT1MOV R0,#4AHNTI:MOV A,39H ;若定時(shí)時(shí)間到CJNE A,#05H,BBXXLCALL YUYIN ;發(fā)出語音提示CLR P2.7 ;啟動(dòng)蜂鳴器發(fā)出“嘟嘟嘟”報(bào)警信號SJMP LP-0BBX:SETB P2.7SJMP LP-0BBXX:LCALL YUYINSJMP LP-0LP-0:JB P3.0,LP-6 ;掃描鍵盤,判斷切換顯示日期按鍵按下否?LCALL DISPLAYJB P3.0,LP-0LP-1:JNB P3.0,LP-1SETB P2.7MOV 5EH,#06HLP-1A:MOV 3CH,35HMOV 3DH,36HLCALL CHANGELCALL DISPLAY ;切換顯示日、月LP-1B:JB P3.0,LP-1CLCALL DISPLAYJB P3.0,LP-1BLP-1D:JNB P3.0,LP-1BSJMP LP-2LP-1C:MOV A,5EHCJNE A,#00H,LP-1ALJMP FUZHILP-2:MOV 5EH,#06HLP-3A:MOV 3CH,38HMOV 3DH,#20HLCALL CHANGELCALL DISPLAY ;切換顯示年份LP-3B:JB P3.0,LP-3CLCALL DISPLAYJB P3.0,LP-3BLP-3D:JNB P3.0,LP-3DSJMP LP-4LP-3C:MOV A,5EHCJNE A,#00H,LP-3ALJMP FUZHILP-4: MOV 5EH,#06HLP-5A:MOV 3CH,37HMOV 3DH,#0BBHLCALL CHANGELCALL DISPLAY ;切換顯示星期LP-5B:JB P3.0,LP-5CLCALL DISPLAYJB P3.0,LP-5BLP-5D:JNB P3.0,LP-5DLIMP FUZHILP-5C:MOV A,5EHCJNE A,#00H,LP-5ALJMP FUZHILP-6:JB P3.1,LP-6ASJMP LP-6BLP-6A:LJMP LOPLP-6B:LCALL DISPLAY ;切換顯示當(dāng)前時(shí)間JB P3.1,LP-6LP-7:LCALL DISPLAYJNB P3.1,LP-7SETB P2.7MOV 3CH,32HMOV 3DH,#0FFHLCALL CHANGELCALL DISPALYJB P3.1,LP-7LCALL DISPLAYJB P3.1,LP-7LP-8:LCALL DISPLAYJNB P3.1,LP-8FUZHI:MOV 3CH,33HMOV 3DH,34HLJMP LOPCHANGE:MOV A,3DH ;將當(dāng)前時(shí)間小時(shí)、分送顯示緩沖區(qū)PUSH AANL A,#0FHMOV 42H,APOP ASWAP AANL A,#0FHMOV 43H,AMOV A,3CHPUSH AANL A,#0FHMOV 40H,APOP ASWAP AANL A,#0FHMOV 40H,APOP ASWAP AANL A,#0FHMOV 41H,ARETYUYIN:MOV A,3FHCJNE A,#0,CC1CLR P3.4MOV 3FH,#01HCC1:RET3.2 錄音子程序設(shè)計(jì)RECORD:MOV 3EH,#01HRECORD1:MOV 3CH,3EHMOV 3DH,#0AFHLCALL CHANGENEXTA:LCALL DISPALYJB P3.1,NEXTALCALL DISPLAYJB P3.1,NEXTAMOV A,3EHCJNE A,#01H,TRY1LJMP REC1TRY1:CJNE A,#02H,TRY2LJMP REC2TRY2:CJNE A,#03H,TRY3LJMP REC3T RY3:CJNE A,#04H,TRY4LJMP REC4TRY4:CJNE A,#05H,TRY5LJMP REC5TRY5:LJMP ETBREC1:MOV 58H,#40HLCALL CLRP0REC11:LCALL RECMCJNE A,#48H,REC11LCALL CLRP0INC 3EHSJMP NE1REC2:MOV 58H,#48HLCALL CLRP0REC22:LCALL RECMCJNE A,#50H,REC22LCALL CLRP0INC 3EHSJMP NE1REC3:MOV 58H,#50HLCALL CLRP0REC33:LCALL RECMCJNE A,#58H,REC33LCALL CLRP0INC 3EHSJMP NE1REC4:MOV 58H,#58HLCALL CLRP0REC44:LCALL RECMCJNE A,#60H,REC44LCALL CLRP0INC 3EHSJMP NE1REC5:MOV 58H,#60HLCALL CLRP0REC55:LCALL RECMCJNE A,#68H,REC55LCALL CLRP0INC 3EHSJMP NE2NE1:JNB P3.1,RECORD2LCALL DISPLAYJNB P3.1,NE1SJMP NE1NE2:JB P3.1,ETB1LJMP ETBETB1:LCALL DISPALYJNB P3.1,NE2SJMP NE2RECORD2:JNB P3.1,RECORDXLJMP RECORD1RECORDX:LCALL DISPLAYSJMP RECORD23.3 動(dòng)態(tài)掃描顯示子程序設(shè)計(jì)DISPLAY:SETB RS1SETB RS0MOV R2,#04HMOV R0,#40HMOV R1,#44HGO-ON:MOV A,R1ADD A,R0MOV P1,ALCALL DELAY1INC R0INC R1DJNZ R2,GO-ONRETDELAY1:MOV R3,#14HAGAIN:MOV R4,#19HDELAY:DJNZ R4,DELAYDJNZ R3,AGAINRET結(jié) 束 語隨著國民經(jīng)濟(jì)的高速發(fā)展,城市公交事業(yè)發(fā)展的很快,很多城市都在實(shí)行無人售票,原來靠售票員報(bào)站的方式以不能滿足實(shí)際需要。如何實(shí)現(xiàn)報(bào)站的自動(dòng)化是一個(gè)需要解決的問題。本設(shè)計(jì)應(yīng)用單片機(jī)技術(shù)和語音合成技術(shù),鑒于傳統(tǒng)公交車報(bào)站系統(tǒng)的不足之處,結(jié)合公交車輛的使用特點(diǎn)及實(shí)際營運(yùn)環(huán)境,考慮到性價(jià)比和實(shí)用性設(shè)計(jì)的一種由單片機(jī)控制的公交車報(bào)站系統(tǒng)。通過進(jìn)站、出站播報(bào)站名及服務(wù)用語,為市民提供更人性化,更完善的服務(wù)。通過這次期末課程設(shè)計(jì),我感慨頗多,學(xué)到很多很多的的東西,同時(shí)不僅可以鞏固了以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。的確,從選題到定稿,雖然只有兩天時(shí)間,但是這短短的時(shí)間里,在很大程度上提高了自己的獨(dú)立思考能力和對單片機(jī)的專業(yè)知識的了解,也深刻了解寫一篇課程設(shè)計(jì)的步驟和格式,有過這樣的一次訓(xùn)練,相信在以后我就不怕自己排版、設(shè)計(jì),特別是格式方面。因此感謝余老師給我們這次課程設(shè)計(jì)的機(jī)會,讓我從中學(xué)到很多。在課程設(shè)計(jì)過,難免會遇到過各種各樣的問題,同時(shí)在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固,對單片機(jī)匯編語言掌握得不好等等。通過這次課程設(shè)計(jì)之后,一定把以前所學(xué)過的知識重新溫故。同時(shí),也感謝在這過程中幫助我的同學(xué)和老師。參考文獻(xiàn)1 張培仁,張志堅(jiān),高修峰等編.十六位單片微處理器原理及應(yīng)用(凌陽SPCE061A).清華大學(xué)出版社,20052 薛鈞義等編.凌陽十六位單片機(jī)原理及應(yīng)用.北京航天航空大學(xué)出版社,20033 王慶利,劉奎,袁建敏.單片機(jī)設(shè)計(jì)標(biāo)準(zhǔn)教程.北京郵電大學(xué)出版社,20084 張鑫主編.單片機(jī)原理及應(yīng)用.電子工業(yè)出版社,2008,11 5 求是科技.單片機(jī)典型模塊設(shè)計(jì)實(shí)例導(dǎo)航.人民郵電出版社,2004 6 王為青,程國鋼.單片機(jī)Keil Cx51應(yīng)用開發(fā)技術(shù).人民郵電出版社,2007 7 黃俊.電力電子變流技術(shù).機(jī)械工業(yè)出版社,20058 王化祥.傳感器原理及應(yīng)用.天津大學(xué)出版社,2004 9 溫鋼云,黃道平.計(jì)算機(jī)控制技術(shù).華南理工大學(xué)出版社,2002 總 體 評 價(jià) 得分總體還不錯(cuò),格式規(guī)范,態(tài)度端正,但拼湊痕跡很明顯,給出的程序與單片機(jī)不配 1517

注意事項(xiàng)

本文(《單片機(jī)原理及應(yīng)用》期末課程設(shè)計(jì):基于單片機(jī)的公交車報(bào)站器設(shè)計(jì))為本站會員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!